DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Similar documents
3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Multi-Die Packaging How Ready Are We?

High Quality, Low Cost Test

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

ARCHIVE 2008 COPYRIGHT NOTICE

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Testable SOC Design. Sungho Kang

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

November 11, 2009 Chang Kim ( 김창식 )

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

All Programmable: from Silicon to System

Driving 3D Chip and Circuit Board Test Into High Gear

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

A DfT architecture and tool flow for 3D-SICs with test data compression, embedded cores, and multiple towers

Interposer Technology: Past, Now, and Future

Non-contact Test at Advanced Process Nodes

Design and Synthesis for Test

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

Digital Integrated Circuits

Al Crouch ASSET InterTech InterTech.com

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Bringing 3D Integration to Packaging Mainstream

Advancing high performance heterogeneous integration through die stacking

Xilinx SSI Technology Concept to Silicon Development Overview

technology Leadership

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Comprehensive Place-and-Route Platform Olympus-SoC

The Boundary - Scan Handbook

Stacked IC Analysis Modeling for Power Noise Impact

Concurrent, OA-based Mixed-signal Implementation

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

So you think developing an SoC needs to be complex or expensive? Think again

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Impact of DFT Techniques on Wafer Probe

Chapter 0 Introduction

HES-7 ASIC Prototyping

CMOS Testing: Part 1. Outline

Advances in Flexible Hybrid Electronics Reliability

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Stacked Silicon Interconnect Technology (SSIT)

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

VLSI Design Automation

CMP Model Application in RC and Timing Extraction Flow

ANALYSIS OF ADDER USING BIST

Panel Discussion Chair: Michael Huebner

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

VLSI Design Automation

Advanced Heterogeneous Solutions for System Integration

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Baseband IC Design Kits for Rapid System Realization

TechSearch International, Inc.

SiP/3D Device Test Challenges using Ever Changing JTAG Standards

Technology and Manufacturing

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

3-Dimensional (3D) ICs: A Survey

A Built-in Self-Test for System-on-Chip

A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

TechSearch International, Inc.

Chapter 5: ASICs Vs. PLDs

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

3D technology for Advanced Medical Devices Applications

Architecture and Automated Design Flow for Digital Network on chip for Analog/RF Building Block Control

Introduction. SK hynix

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

Manufacturing in the More-than-Moore era

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

SRC 3D Summit. Bob Patti, CTO

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Emerging IC Testing Methods

Erik Jan Marinissen IMEC Leuven, Belgium

3D technology evolution to smart interposer and high density 3D ICs

Eliminating Routing Congestion Issues with Logic Synthesis

Moore s s Law, 40 years and Counting

RISECREEK: From RISC-V Spec to 22FFL Silicon

Transcription:

I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s Law: Scaling Source : ITRS Baseline CMOS: CPU, Memory, Logic 130nm 90nm 65nm 45nm 32nm 22nm 16nm Analog/RF Passives HV Power Information Processing Digital content System-on-Chip (SoC) Sensors Actuators Interacting with people and environment Non-digital content SoC & System-in-Package (SiP) Biochips (Through Silicon Vias) enables stacking of heterogeneous ICs in a single package Co-design & test across digital, analog, package

3DIC Design Flow Challenges System Level Exploration New 3DIC Design Flow Challenges 3D Floorplan Optimized power Plan and /Bump locations Implementation Placement, Optimization and Routing Extraction and Analysis Manage Power, Thermal and SI DFT for 3DIC Stack & Diagnostics Silicon Package Co-Design/Test

So what changes with 3DIC in EDA tools? Revamped EDA requirements New Layout Rules (e.g. alignments) New Layout Layer (e.g. Back Side RDL) New Layout/Electrical Feature () New Floorplanning/blockage rules Thermal & mechanical New Models, Rules New level of design/test integration New level of co-design and test Courtesy : Qualcomm 3D DFT Architecture 3D Test & DFT Standardization

New level of integration and co-design Multiple interacting requirements and features Logic Synthesis Timing opt. Clock gating/msv Power Analysis DFT Synthesis Analog Test Testability Analysis ATPG/Diagnostics From Design-For-Test Design-With-Test

DWT: Design-With-Test Integration between design and test is key Integration between design and test becomes even more significant for 3DIC Complexity of inter-die effects Requires Concurrent optimization Enabled by deeply integrated technologies Across the entire flow

DWT Example: Physical-aware 3DIC Physical-Aware -3D DFT Physical BSCAN Physical scan synthesis Physical MBIST insertion Physical Compression ROUTING CONGESTION ANALYSIS (3D) Concurrent optimization across PPA (power, perf., area) and Test constraints essential for predictability and test cost reduction of 3DIC

Physical-Aware Compression Challenges: Due to dense connectivity standard placement algorithms can clump compression logic causing local congestion Routing congestion Clumped logic causes congestion 8 Solution: Specialized DFT aware congestion driven placement algorithms can mitigate congestion without disturbing signal path placement

3D Test requirements drive DFT architecture Limited test access with challenges similar to SiP New defect types (defects due to thinning, s) Interconnect defects Redundancy and repair of s Key Technical Requirements Ultra-low pin count compression Reduced Pin Count Test Pattern Fault model 1149.1/1500 support Creation of KGD after wafer test A means to test the interconnect between stacked die A means to test inside the die of the stack

DFT Architecture for 3D-IC Leverage existing (and emerging) standards: 1149.1/.6, 1500, P1687 (ijtag) Functional Design 2 stacked dies, possibly core-based Inter-connect: s Extra-connect: pins Die 3 Core 3 pad BIST IEEE 1500 pad Existing Design-for-Test Core: internal scan, TDC, LBIST, MBIST, IEEE 1500 wrappers, TAMs Stack product: IEEE Std 1149.1 Die 2 Core 2.1 TDC pad IEEE 1500 TDC Core 2.2 IEEE 1500 TDC TDC pad 3D DfT Architecture Test wrapper per die Based on IEEE 1149.1 or 1500 Two entry/exit points per die: 1. Pre-bond : extra probe pads 2. Post-bond : extra s Die 1 IEEE 1500 IEEE 1500 IEEE 1500 Core 1.1 Core 1.2 Core 1.3 IEEE 1149.1 Boundary Scan pin pin pin pin pin pin Board [Marinissen et al. VTS 10 / 3DIC 10] 10 2010 Cadence Design Systems, Inc. All rights reserved.

Test Generation for 3D-IC Supports Silicon Interposer Flow 3D DFT on Die-Level Insertion of 3D wrapper Creating Test patterns Verification and Simulation Testing Die Interconnects ATPG for die interconnects Testing Die in a stack Modular Test approach ATPG-on-top test approach 11 2010 Cadence Design Systems, Inc. All rights reserved.

3-D Test and DFT Standardization 59 participants from companies/institutes around the globe Chair: Erik Jan Marinissen (IMEC) Source: Erik Jan Marinessen (IMEC)

Cadence Silicon-Proven 3D-IC Solution Plan Implement Test Verify Allows heterogeneous integration to offer power, performance in smallest form factor Cadence is technology leader providing Complete & Integrated 3D-IC solution Plan->Implement->Test->Verify 1st to market wide I/O memory controller Developed in close partner-collaboration for past 3 years with Leading foundries and customers Multiple 3D-IC tapeouts Multiple test chips: Memory over logic (28 nm), logic over analog, logic over Logic, 3-stack dies Production design tape-out in mid-2010 Riko Radojcic, Qualcomm

3D-IC Test Collaboration in the Ecosystem Designers: Stacking Methodology & 3D Analysis System House: Multi-Die Integrated Package Support Foundry & IDM : DRC Rules,, Backside Metal Models Everyone : DFM/Yield/Reliability And Redundancy EDA DFT + ATE company Prove 3D solution on Tester Build awareness of the current state-of-the-art Support standardization effort

Questions to ponder? New failure mode with Failures due to Thermal and Mechanical stress Cost Management of 3D-IC system Lowest cost point : additional silicon area for DFT versus tester time Over heating or failed IC : Parallel testing, High-speed testing How to avoid costly failures 15