Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Similar documents
Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Testable SOC Design. Sungho Kang

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

SoC Design Flow & Tools: SoC Testing

Programovatelné obvody a SoC. PI-PSC

IEEE P1500 Core Test Standardization

IEEE P1500, a Standard for System on Chip DFT

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

Overview the Proposed IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

Digital Integrated Circuits

Boundary Scan. Sungho Kang. Yonsei University

IEEE JTAG Boundary Scan Standard

Chapter 0 Introduction

A Test Integration Methodology for 3D Integrated Circuits

Automating IEEE 1500 Core Test An EDA Perspective

An Industrial Approach to Core-Based System Chip Testing

Preliminary Outline of the IEEE P1500 Scaleable Architecture for Testing Embedded Cores

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Abstract. 1 Introduction. 2 Time Domain Multiplexed TAM

Boundary Scan Implementation

Boundary-scan test for structural fault detection

Nexus Instrumentation architectures and the new Debug Specification

A Research Paper on Designing a TAP(Test Access Port)

EMERGING STRATEGIES FOR RESOURCE-CONSTRAINED TESTING OF SYSTEM CHIPS Resource-constrained system-on-a-chip test: a survey

DESIGN OF IEEE TAP CONTROLLER IP CORE

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

IEEE Std : What? Why? Where?

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Chapter 1. Introduction. System-on-Chip Test Architectures Ch. 1 Introduction - P. 1 EE141

CONTACT: ,

Platform-based Design

The SOCks Design Platform. Johannes Grad

Embedded Systems: Architecture

Testing Embedded-Core Based System Chips

1687 Proposed Hardware Architecture Summary Update v7.0 June 25, 2007 IEEE 1687 IJTAG HW Proposal

TEST SCHEDULING OPTIMIZATION FOR EMBEDDED CORE TESTING

FPGA Based Digital Design Using Verilog HDL

Chapter 2 Why use the IEEE 1500 Standard?

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

Test-Access Planning and Test Scheduling. Sandeep Kumar Goel

Development of a Boundary Scan Test controller creation tool

Betrouwbare Elektronica ontwerpen en Produceren

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

A Unified DFT Architecture for use with IEEE and VSIA/IEEE P1500 Compliant Test Access Controllers

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

SECTION 11 JTAG PORT

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

Embedded Systems: Hardware Components (part I) Todor Stefanov

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil

Designing with ALTERA SoC Hardware

JTAG and I 2 C on ELMB

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Overview of SOC Architecture design

Aeroflex Colorado Springs Application Note

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Introduction to System-on-Chip

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port

Microprocessors, Lecture 1: Introduction to Microprocessors

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

The S6000 Family of Processors

Overview of Microcontroller and Embedded Systems

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J

microsparc-iiep TM Introduction to JTAG Boundary Scan

Design-for-Test and Test Optimization. Techniques for TSV-based 3D Stacked ICs

POLITECNICO DI TORINO Repository ISTITUZIONALE

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Introduction. Sungho Kang. Yonsei University

System Level Instrumentation using the Nexus specification

TAP Expander Blackhawk Emulator Expansion Pod. Document Part Number: REV B

Design and Optimization of Test Architecture for IP Cores on SoC Based on Multi-objective Genetic Algorithm

Digital Systems Design. System on a Programmable Chip

IC Testing and Development in Semiconductor Area

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

SCANSTA112 Designers Reference

Zynq-7000 All Programmable SoC Product Overview

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

What Do Embedded Instruments Look Like? Jeff Rearick, Agilent Technologies

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Copyright 2016 Xilinx

The Design of a Debugger Unit for a RISC Processor Core

Lecture 28 IEEE JTAG Boundary Scan Standard

ARM Processors for Embedded Applications

Hardware Reference. DIL/NetPC DNP/9265 Board Revision 1.0

Universität Dortmund. IO and Peripheral Interfaces

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

A Built-in Self-Test for System-on-Chip

P-ROC. Pinball Remote Operations Controller. Version 2.4 August 8, Copyright 2017, Multimorphic, Inc. 1/28

Transcription:

Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan

Outline Introduction SOC Test Challenge SOC Test Access Mechanisms SOC Test Control Architectures t Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2

What is an SOC? Definition Integration of multiple cores (e.g., microprocessor, digital signal processor, RAM, ROM, flash memory, I/Os, and analog components which make a complete system) onto a single chip ADC FPGA Flash Memory CPU UDL DSP MPEG SRAM SRAM DRAM Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3

What are Cores? Definition Predefined, pre-verified complex functional blocks, also known as IPs, virtual components Examples Processor Cores: ARM, MIPS, IBM PowerPC PC Peripherals: MMU, DMA Controller Interface: PCI, USB, UART Multimedia: JPEG compression, MPEG decoder Networking: Ethernet Controller, MAC Various core description levels Soft cores: register-transfer level (synthesizable HDL) Firm cores: gate-level netlist (Verilog netlist) Hard cores: layout (GDS2) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 4

Traditional & Core-Based IC Design Traditional IC design IC is designed from scratch Reuse of small modules: standard-cell library and memory modules Core-based IC design Reuse of large modules: cores, IP, virtual components Divide-and-conquer design methodology Definition of standards to make reuse easy Reduce time-to-market Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 5

Difference Between SOB and SOC System-on-Board (SOB) IC Design IC Verification System-on-Chip (SOC) Core Design Core Verification IC Manufacturing IC Test Analogy Reuse of predeisgned components in a system SOB Design SOB Verification SOB Manufacturing SOB Test SOC Design SOC Verification SOC Manufacturing SOC Test Difference Cores in SOC are fabricated and tested in the final system [Zorian, et al.-itc97] Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 6

What are The Test Challenges? Distributed design and test development Mixed technologies: logic, processor, memory, analog Need various ATPG/DFT/BIST/other techniques Multiple hardware description levels for cores Need test plan for the various levels Different core providers and SOC test t developers Need standard for test integration Deeply embedded cores Need electronic test access mechanism Core/test reuse Need plug-and-play l test t mechanism Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7

What are The Test Challenges? Hierarchical core reuse Need hierarchical test management SOC-level test optimization Test time can be extremely large Need parallel testing or test scheduling Test power must be considered Need low-power design or test t scheduling Testable design automation Need new testable design tools and flow Test economic consideration Need to determine e test strategy and overall test plan SOC yield improvement Large amount of defect-sensitive memory cores Need cost-effective repair techinques Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 8

Generic Test Access Structure ADC FPGA Wrapper Flash Memory Source CPU UDL Test Access Mechanism (TAM) DSP TAM Sink MPEG SRAM SRAM DRAM [Y. Zorian, et al.-itc98] Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 9

1500 Test Scalable Structure Source User Defined Parallel TAM Sink TAM-in TAM-out TAM-in TAM-out 1500 Wrapper 1500 Wrapper Fin Core1 Fout Fin CoreN Fout WSI WIR WSO WSC WSI WIR WSO User-Defined Test Controller Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 10

1500 Parallel TAM Configuration WPC Daisychained TAM WPI WPO ENA WPP ENA WPP ENA WPP Core Core Core WSI Wrapper WSP Wrapper WSP Wrapper WSP WSO WSC Standardized Plug & Play Wrapper Serial Ports Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 11

1500 Parallel TAM Configuration WPC Bussed TAM WPI WPO ENA WPP ENA WPP ENA WPP Core Core Core WSI Wrapper WSP Wrapper WSP Wrapper WSP WSO WSC Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 12

1500 Parallel TAM Configuration WPC WPI WPO WPC WPI Direct Access TAM WPO WPO WPC WPI ENA WPP ENA WPP ENA WPP Core Core Core WSI Wrapper WSP Wrapper WSP Wrapper WSP WSO WSC Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 13

TAM Implementations Many TAM implementation have been reported Examples: Multiplexed access Reused system bus (AMBA) Transparency Boundary Scan Scalable TAMs (Test bus, TestRail) On one SOC, different TAMs may co-exist Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 14

Multiplexed Access [E. J. Marinissen, ITC98] (a): Multiplexing architecture; (b) daisy-chain architecture; (c) Distributed architecture Core A N Core A na Core A N Core B Core B Core B nb Core C Core C nc Core C IC IC IC (a) (b) (c) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 15

Test Shell/TestRail [E. J. Marinissen, ITC98] Every core is wrapped with a TestShell The TestShell is the test data transport mechanism TCM is a standardized test control mechanism in the TestShell The host is the environment in which the core is embedded TCM host TestShell TCM TestShell TCM TestRail IP A IP B Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 16

Test Shell/TestRail [E. J. Marinissen, ITC98] host Shell TCM TCM TestRail 1 16 16 Core A 16 Shell TCM Shell TCM 16 16 Core B Core C 16 16 Shell TCM Shell TCM 2 Core E Shell TCM TestRail 2 10 Core D 8 Core F 10 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 17

SOC Test Control Architectures TAP Linking Module (TLM) architecture I C B S R NTC CBSR CBSR CBSR NTC NTC TAP1 TAP ed Core TAP ed Core TAP ed Core X Y Z TAP2 TAP3 TAP4 SEL ENA SEL ENA SEL ENA SEL ENA TAP Linking Module (TLM) I C B S R TDI TCK TMS TRST* TDO [Lee Whetsel, ITC97] The TLM operates to enable and connect one or more TAPs to be accessed via the IC s test pins Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 18

SOC Test Control Architectures TLM architecture [Lee Whetsel, ITC97] TAP TLM: SEL TAP1 LC2 TAP2 LC3 TAP3 LC4 TAP4 Enable 1,2,3,4 TLM TAP: LC ENA SEL1 SEL2 SEL3 SEL4 TAP Linking Module (TLM) TDO4 TDO3 TDO2 TDO1 ENA1 ENA2 ENA3 ENA4 Reset* TDO TAPSEL0,1 TLM-Select M U X 3 S M U X Enable TDI TCK TMS TRST* TDO The TLM communicates with TAPs via select (SEL), enable (ENA) and Link Control (LC). After power up, or test reset, the TLM defaults to connecting TAP1 to the test pins Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 19

SOC Test Control Architectures Modified state diagram TMS=1 Test Logic Reset ENA:TMS=1:0 Or TMS=0 ENA:TMS=0:x Run Test/ ENA:TMS=1:1 Idle Unlinked Stroble State ENA=0,TAP disable ENA=1,TAP enable ENA:TMS=1:1 Data Register Scan ENA:TMS=1:0 Or ENA:TMS=0:x Select DRS Capture DR Shift DR Update DR Instruction Register Scan TMS=1 Select IRS Link change state TMS=1 Capture IR Shift IR Update IR TMS=0 TMS=1 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 20

Hierarchical Test Methodology [J.-F. Li, et al., IEEE Micro 02] TDI_UP TMS_UP TRST_UP TCK_UP TDO_UP HTM1 TDI_C ECS TDI_H TCS_DN TDO_H TDO_C WCI Core 1 (P1500) WCI MBI Core 2 (BISTed RAM) WCI Core 3 (P1500) HTM2 TAM 2 WCI Core 4 (P1500) TAP Core 5 (JTAG) TAM 1 TAM input TAM output Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 21

Hierarchical Test Procedure [J.-F. Li, et al., IEEE Micro 02] Test Configuration Load the instructions for the wrappers and memory BIST interfaces (MBIs) TAM Specification Specify the cores to be tested by the TAM Test Transportation Import the test patterns and export the test responses Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 22

An Example TDI_UP TMS_UP TRST_UP TCK_UP TDO_UP HTM1 TDI_C ECS TDI_H TCS_DN TDO_H TDO_C WCI Core 1 (1500) WCI MBI Core 2 (BISTed RAM) WCI Core 3 (1500) HTM2 TAM 2 WCI Core 4 (1500) TAP Core 5 (JTAG) TAM 1 TAM input TAM output Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 23

Hierarchical Test Manager Test Manager Boundary Register Selection Register Bypass Register Instruction Decoder Instruction Register FSM WCS Encoder ECS0 ECS1 ECS2 TMS TCK TRST TDI TMS TCK TRST TDI TMS_N TCK_N TRST_N TDI_UP TDO_UP Hierarchical Test Interface Switch Box TDI_C TDI_H TDO_C TDO_H Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 24