ALTERA FPGAs Architecture & Design

Similar documents
ALTERA FPGA Design Using Verilog

Quartus II Prime Foundation

FPGA for Software Engineers

Advanced ALTERA FPGA Design

8. Migrating Stratix II Device Resources to HardCopy II Devices

Building Gigabit Interfaces in Altera Transceiver Devices

VHDL for Synthesis. Course Description. Course Duration. Goals

Creating PCI Express Links in Intel FPGAs

Verilog for High Performance

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Building Interfaces with Arria 10 High-Speed Transceivers

High-Performance FPGA PLL Analysis with TimeQuest

Designing with ALTERA SoC Hardware

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet

AGM CPLD AGM CPLD DATASHEET

VHDL Essentials Simulation & Synthesis

Altera Technical Training Quartus II Software Design

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

11. Analyzing Timing of Memory IP

Tutorial for Altera DE1 and Quartus II

System Debugging Tools Overview

MAX 10 FPGA Device Overview

Qsys and IP Core Integration

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MAX 10 FPGA Device Overview

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Designing with Nios II Processor for Hardware Engineers

Intel MAX 10 FPGA Device Overview

DE2 Board & Quartus II Software

DDR and DDR2 SDRAM Controller Compiler User Guide

LeonardoSpectrum & Quartus II Design Methodology

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction

Section I. Cyclone II Device Family Data Sheet

Intel Arria 10 Core Fabric and General Purpose I/Os Handbook

Verilog Essentials Simulation & Synthesis

Arria 10 Core Fabric and General Purpose I/Os Handbook

DDR & DDR2 SDRAM Controller Compiler

קורס VHDL for High Performance. VHDL

Introduction to the Qsys System Integration Tool

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

3. Mapping Stratix III Device Resources to HardCopy III Devices

Stratix II FPGA Family

Intel Quartus Prime Standard Edition User Guide

Intel Cyclone 10 LP Device Design Guidelines

Intel Quartus Prime Pro Edition User Guide

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Section IV. In-System Design Debugging

Cyclone II Device Handbook, Volume 1

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Field Programmable Gate Array (FPGA) Devices

Errata Sheet for Cyclone IV Devices

Stratix V Device Handbook

Interfacing FPGAs with High Speed Memory Devices

Stratix V Device Handbook

Analyzing Timing of Memory IP

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

DDR & DDR2 SDRAM Controller Compiler

Intel MAX 10 High-Speed LVDS I/O User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

ISE Design Suite Software Manuals and Help

Low Power Design Techniques

ALTDQ_DQS2 Megafunction User Guide

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

Chapter 2. Cyclone II Architecture

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide


16. Design Debugging Using In-System Sources and Probes

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Section I. MAX II Device Family Data Sheet

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software

6. I/O Features for HardCopy IV Devices

DDR & DDR2 SDRAM Controller Compiler

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

HardCopy III Device Handbook, Volume 1

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

ECE 437 Computer Architecture and Organization Lab 6: Programming RAM and ROM Due: Thursday, November 3

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

ispxpld TM 5000MX Family White Paper

Intel FPGA GPIO IP Core User Guide

Analyzing Designs with Quartus II Netlist Viewers

Implementing OCT Calibration in Stratix III Devices

Section 3. System Integration

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

June 2003, ver. 1.2 Application Note 198

1. Overview for the Arria II Device Family

Design Guidelines for Optimal Results in High-Density FPGAs

8. Selectable I/O Standards in Arria GX Devices

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Cyclone II FPGA Family

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software

QDRII SRAM Controller MegaCore Function User Guide

Transcription:

ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines 50% theory with 50% practical work in every meeting. The practical labs cover all the theory and also include practical digital design. The course starts with an overview of the current programmable logic devices and their capabilities, continues with an in-depth study of the architecture and its various features, code writing, using the simulator, synthesizer, and Place & Route tools The course also touches upon digital design considerations, adding constraints, integrating IP, timing simulation, FPGA programming, and verification. In addition the course covers the different tools in Quartus II software that assist in design and analysis process. Course Duration 5 days Goals 1. Become familiar with ALTERA s FPGA and CPLD families and their capabilities 2. Understand the design process from specification up to FPGA programming and final verification on board 3. Integrate IP into the design 4. Write constraints for clocks, reset, I/O, and exceptions 5. Optimize the design through the synthesis and Place & Route tools 6. Analyze results and solve design problems

7. Program the FPGA and verify functionality 8. Understand the coding style considerations for synthesis in ALTERA devices Intended Users Hardware engineers who program with VHDL/Verilog languages and would like to be specialized with ALTERA FPGAs and Quartus II software Previous Knowledge VHDL/Verilog beginners and advanced users who are new to ALTERA FPGAs. Course Material 1. Simulator: Modelsim 2. Synthesizer and Place & Route: Quartus II 3. Demonstration on ALTERA Evaluation board 4. Course book (including labs) Table of Contents Day #1 Introduction to Programmable Logic Devices o CPLD architecture and design consideration o FPGA architecture (LUT, FF, PLL, DSP Block, Embedded RAM, Embedded Processor, Programming) Introduction to ALTERA FPGAs o CPLD : MAX V, MAX II o FPGA : Cyclone IV, Cyclone V, ARIA II, ARIA V, STRATIX IV, STRATIX V, SoC ARM based

Introduction to Quartus II IDE o Subscription Vs Web edition o Project creation and the main windows in Quartus II o Quartus II project files and folders o Project management (archive, restore, copy, revisions) o Design entry methods (schematic, HDL, EDIF) o Synthesis and P&R o Programmer RTL Coding Guidelines for QUARTUS II Integrated Synthesis o Synthesis directives and attributes o Fixed output registers o RAM inference o Latch inference o Combinational loops o Finite state machine coding styles Day #2 Example: Cyclone IV Architecture Deep Dive o Logic elements and logic array blocks (LE modes, LAB topology and control signals) o Memory blocks (control signals, parity bit support, byte enable support, packed mode, address clock enable, mixed width, asynchronous reset, memory modes: FIFO, ROM, Shift register, DPR, SDR, clocking modes, design considerations) o Embedded multipliers (architecture, operational modes) o Clock networks and PLLs (GCLK networks, PLLs hardware, programmable bandwidth, phase shift implementation, PLL cascading, PLL reconfiguration, spread spectrum clocking) o I/O interfaces (I/O element features: slew rate control, programmable current strength, open drain output, bus hold, programmable pull-up resistor, programmable delay, PCI clamp diode, on chip termination, I/O standards, I/O banks, clock pins functionality, high speed I/O interface, true differential output buffer support, design guidelines)

o External memory interfaces (data and data clock/strobe pins, optional parity, DM, and ECC pins, address and control pins, memory clock pins, DDR input and output registers, OCT with calibration, PLL) o Configuration and remote system upgrade (configuration requirements and process, AS/AP/PS.FPP.JTAG configurations, enabling remote update, remote system upgrade mode, dedicated remote system upgrade circuitry) o JTAG boundary scan testing (IEEE Std. 1149.6, BST operation control, I/O voltage support, BSDL) o Power requirements (external power supply, hot socketing, POR circuitry) IP Megafunctions o MegaCore, AMPP, OpenCore IPs o MegaWizard plug-in manager tool o Generated files Day #3 Compilation Process o Processing options o Compilation design flows o Viewing compilation results o Netlist viewers o State machine viewer o Chip planner o Resource property editor Assignment Editor o Synthesis and fitting control o Synthesis settings o Fitter settings o Assignment editor features o Design assistance

I/O Management o Pin planner o I/O assignment analysis o Using synthesis attributes in HDL o Live I/O checking o Swappable pins o SSN analyzer o Transferring I/O assignments to PCB tools Modeling Finite State Machines and Memories o State machine editor o From SMF to HDL o Memory editor o Create memory initialization file Using memory file in design Day #4 Introduction to Timing Analysis o TimeQuest tool overview o Basic steps to using TimeQuest (generate timing netlist, enter SDC constraints, update timing netlist, generate timing reports) o Using TimeQuest in Quartus II flow o Timing analysis basics (Launch Vs Latch edges, setup and hold times, data and clock arrival time, data required time, setup and hold slack analysis, I/O analysis, recovery and removal, timing models) Timing Reports o Reporting in Quartus II Vs reporting in TimeQuest o Custom, summary and diagnostic reports o Clock transfer, datasheet, Fmax reports o Slack histogram report o Detailed slack/path report, further path analysis

Introduction to Timing Constraints o Importance of constraining o Enter constraints o SDC netlist terminology o Collections SDC Timing Constraints o Internal and virtual clocks o Generated clocks (inverted clocks, phase shifted clocks o PLL clocks and derive_pll_clocks Altera SDC extension o Automatic clock detection and creation o Non ideal clock constraints (Jitter, latency on PCB) o Common clock path pessimism removal o Checking clock constraints o Report clocks SDC Timing Constraints for I/O o Combinational I/O interface constraints (max & min delay constraints) o Synchronous inputs constraints (setup and hold time calculations, set_input_delay max & min, set_output_delay min& max, when to use each constraint, output pin load, signal integrity metrices) o Checking I/O constraints (report SDC, report unconstrained path, report ignored constraint) Day #5 Programming the FPGA o Programming flow o Programmer GUI (hardware setup, JTAG settings, JTAG chain debugger tool) o Programming and configuration modes o Design security keys o Secondary programming files o Flash loaders

Introduction to FPGA Verification o Functional versus gate level simulation o Simulating ALTERA IP cores o Power estimation and analysis o System debugging tools overview (in-system sources and probes, insystem memory content editor, signal probe, SignalTap II, LAI)