Using UPF for Low Power Design and Verification

Similar documents
Using UPF for Low Power Design and Verification

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Evolution of UPF: Getting Better All the Time

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Is Power State Table Golden?

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

Verifying a low power design

Next-generation Power Aware CDC Verification What have we learned?

ASIC world. Start Specification Design Verification Layout Validation Finish

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

New Advancements in CPF 2.0 and the Path to Interoperability

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Low-Power Technology for Image-Processing LSIs

Comprehensive Place-and-Route Platform Olympus-SoC

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Welcome to the DVCon 2015 issue of Verification Horizons By Tom Fitzpatrick, Editor and Verification Technologist

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Unleashing the Power of the Command-Line Interface. Jeremy W. Webb UC Davis VLSI Computation Laboratory

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

High Quality, Low Cost Test

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Power Format Comparison Report out

Unleashing the Full Power of UPF Power States

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Hierarchical Design Using Synopsys and Xilinx FPGAs

Partitioning for Better Synthesis Results

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

Block-Based Design User Guide

2D/3D Geometric Transformations and Scene Graphs

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPF

Testing: Test design and testing process

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Introduction to the Qsys System Integration Tool

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

PA GLS: The Power Aware Gate-level Simulation

DESIGNING MULTI-FPGA PROTOTYPES THAT ACT LIKE ASICS

Evolution of CAD Tools & Verilog HDL Definition

Getting Started with

Low Power Methodology Manual For System-on-Chip Design

Binghamton University. CS-211 Fall Software Design

Architecture and Partitioning - Architecture

EEL 5722C Field-Programmable Gate Array Design

EE 4755 Digital Design Using Hardware Description Languages

L2: Design Representations

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Marrying Formal Methods With Simulation-Based Verification Function Verification Research at UCSB. Tim Cheng & Li-C. Wang UC-Santa Barbara

System Level Design with IBM PowerPC Models

Intel Quartus Prime Pro Edition User Guide

Shortest path to the lab. Real-world verification. Probes provide observability

Power Aware Models: Overcoming barriers in Power Aware Simulation

IJTAG Compatibility with Legacy Designs - No Hardware Changes

Redwood.log( Hello World! );

3. Formal Equivalence Checking

Component-Based Software Engineering TIP

Stitching UVM Testbenches into Integration-Level

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation...

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

Challenges with Power Aware Simulation and Verification Methodologies

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Digital Design Methodology

Function. Description

Assertive Verification: A Ten-Minute Primer

Leakage Mitigation Techniques in Smartphone SoCs

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Testing is the process of evaluating a system or its component(s) with the intent to find whether it satisfies the specified requirements or not.

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Jill R. Sommer Sommer Translation & Net Services

Comprehensive CDC Verification with Advanced Hierarchical Data Models

Mixed Signal Verification Transistor to SoC

CMPE 415 Programmable Logic Devices Introduction

New Challenges in Verification of Mixed-Signal IP and SoC Design

Program Correctness and Efficiency. Chapter 2

Chapter 1 Overview of Digital Systems Design

CPSC 3740 Programming Languages University of Lethbridge. Control Structures

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

EXCEL + POWERPOINT. Analyzing, Visualizing, and Presenting Data-Rich Insights to Any Audience KNACK TRAINING

EKT 422/4 COMPUTER ARCHITECTURE. MINI PROJECT : Design of an Arithmetic Logic Unit

Simplify the Way You Work: Enhancing Microsoft Office with MindManager 7

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Center for Faculty Development and Support Making Documents Accessible

ECE 4750 Computer Architecture, Fall 2017 Lab 1: Iterative Integer Multiplier

PROBLEM SOLVING AND OFFICE AUTOMATION. A Program consists of a series of instruction that a computer processes to perform the required operation.

Transcription:

Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington Nagu Dhanwada

Adopting UPF Sushma Honnavara-Prasad Principal Engineer Broadcom Using UPF for Low Power Design and Verification 2014 Broadcom 2

Draw a power diagram A color coded domain hierarchy illustrating picture Visually describes the domains, relationships, isolation locations, power connectivity etc Enable incremental UPF Initial UPF could leave out implementation details UPF can be refined during the flow Know your libraries Understanding what is implementable with a given set of libraries is useful A rich library set could allow various implementations, the user can choose the most optimal combination Using UPF for Low Power Design and Verification 2014 Broadcom 3

Unleash the power of Tcl Tcl variables, foreach loops, procs could help making the UPF compact and readable For example: find_objects can return a list which a foreach loop could consume to do a certain operation Enable UPF reuse Try to keep the UPF technology agnostic if possible, this way, it can be paired with technology agnostic RTL and reused. Avoid vendor specific constructs Use of tool specific commands in a UPF file is not allowed per standard. It is possible vendors might allow this, but it would make the UPF non-portable. Use of a pure UPF based solution is recommended Using UPF for Low Power Design and Verification 2014 Broadcom 4

Avoid hardcoding paths If loading other UPF files or helper tcl files, avoid hard-coding the path. Try using a configurable env variable instead. Avoid hardcoding HDL hierarchical paths if possible by using find_objects (allows use of same UPF in spite of hierarchy changes) Keep it readable Add comments wherever possible. UPF is like HDL, the author s explanation in the form of comments might be useful to the end user Indent command options appropriately to make them readable. Modularize Try to break up the UPF into functional boundaries if possible instead of having a long file. Again, think how we would write RTL. It is never easy to debug a big-flat design with no hierarchies. Using UPF for Low Power Design and Verification 2014 Broadcom 5

Adopting UPF* Shreedhar Ramachandra Staff Engineer Synopsys * Slides contributed by Jon Worthington Using UPF for Low Power Design and Verification 2014 Synopsys 6

1) Determine the domains needed by identifying areas of the design that will have different supply needs. Sometimes cells that have the same supply requirements may actually benefit to being in a unique domain if there are other reasons to treat them differently. Such as if they reside in a very different physical regions of the chip, or require a different set of strategies or power states to apply to them. Consider the hierarchy connections between cells of the same domain. Should they really cross a different domain just because they need to traverse logic hierarchy? An extra level of hierarchy my help here. Using UPF for Low Power Design and Verification 2014 Synopsys 7

Make sure you build a UPF that is common to both your verification and implementation flow you want to verify what you are implementing. Build the complete power state table In verification you check for the correctness and completeness of your power state table and in implementation, static checking you take the power state table as golden for all the optimization. So start with a complete PST. Analyze your protection requirements early so that you can build generic isolation policies that still give you optimum results without redundant isolation cells. Check your libraries. You should have libraries characterized at all corners based on all the combinations of voltages on the supply nets to make sure that the power intent is implementable and that you have protection cells to meet the requirements of your power intent. Using UPF for Low Power Design and Verification 2014 Synopsys 8

Make sure the power architecture your implement will actually save power. Ensure the saved power outweighs the additional power consumed by iso cells, level shifters, and power switches Make sure the power intent you create aligns with the physical implementation of your design. As an example, at the RTL level ISO cells and LS can be specified in a wide range of locations. But in the physical implementation, these cells will require special power connections that can have real impact on the physical design. Using UPF for Low Power Design and Verification 2014 Synopsys 9

Tip: Align Power Domains and Logic Hierarchy Multi-element power domains can lead to unexpected intra-domain isolation TOP create_power_domain RED elements {A B} A B C iso These can often be avoided with a different approach TOP create_power_domain RED elements {.} create_power_domain BLUE elements {C} A B C D Better to align power domains with logic hierarchy if at all possible create_power_domain RED elements {RED} create_power_domain BLUE elements {BLUE} Failing that, use -diff_supply_only option or the -source/-sink filters A RED TOP B C E BLUE D Using UPF for Low Power Design and Verification 2014 ARM Ltd 10