Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Size: px
Start display at page:

Download "Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics"

Transcription

1 Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

2 BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost all design implementations. To satisfy this demand, reliability requirements are growing in all market segments. Ensuring these requirements are met requires design verification that goes beyond traditional design rule checking (DRC), layout vs. schematic (LVS) comparison, and electrical rule checking (ERC). Small and large process nodes alike are affected by these requirements, while both system-on-chip (SoC) and full custom designs also need comprehensive reliability coverage. In particular, today s competitive products demand power-efficient design practices. What does power-efficient design entail? Designers are using ever-lower voltages wherever practical in a design, reserving higher voltages for those portions of the design that truly require the additional power. While power-efficient designs may contain several different voltages, there are often tens, or even hundreds, of power domains discrete portions of the design that can be turned on and off to minimize power usage. Accurate and efficient low-power and multiplepower domain verification requires both knowledge of the overall system s power intent and careful tracking of signals crossing these power domains. The ability to evaluate the interactions of different power states at the transistor level, where bulk connections, floating wells, and other physical implementation details can be verified, is critical to avoid latch-up conditions and ensure high reliability compliance. Power-efficient reliability requirements apply to both low power/low voltage and high power/high voltage designs. If there s a memory cell, high-voltage gate, or other element with signals that are not directly compatible with the rest of the design, the designer must make sure there is adequate isolation and protection to ensure a design is created that is reliable under all operating conditions. Level shifters are often used between different voltages to ensure smooth transitions (Figure 1), while retention cells are often employed to preserve a circuit s input values when its domain is switched off. Figure 1: On the left, a level shifter is used to provide a safe transition between differing voltages, while on the right, a retention cell is used to preserve input values. Designs that incorporate multiple power domain checks are particularly susceptible to subtle design errors that are difficult to identify using SPICE simulations or traditional physical verification techniques. These subtle errors often don t result in immediate part failure, but performance degradation over time. Figure 2 shows a transistor connected to different VCCs. If the VCCs are the same voltage, but in different domains, they may be switching on and off at different times, leading to reliability issues. This type of error is going to be very difficult to catch in a SPICE simulation. If VCC2 has a greater voltage than VCC1, the device is at risk for gate oxide breakdown, a longterm reliability issue. Place and route (P&R) tools most commonly deal with gate-level blocks. Because verification in that environment does not go down to the transistor or well level to validate transistor biasing, problems like the one shown in Figure 2 will not be identified during the P&R process. 2 [7]

3 Transistor-level power intent verification is a critical need, especially in designs that make extensive use of IP. SOCs can contain any number of IPs from different providers, many of which may use different power designs or contain their own internal global signals, and each IP must be hooked up correctly within the design. Without an understanding of the power intent of each IP, it s very difficult to proactively prevent reliability issues (such as power domain crossing errors) from occurring when the IP is placed into a larger design. In Figure 3, the voltages internal to the IP block look consistent, but it s been hooked up incorrectly in the larger implementation. Also, as stated before, even if the voltages are equal, if they are in different power domains, they may be switching on and off at different times, leading to additional reliability issues. Figure 2: A transistor connected to two different VCCs is susceptible to long-term performance degradation and reliability issues. Figure 3: IPs pose two levels of reliability cerification challenges internal verification, and verification in the context of a larger implementation. Another factor in these designs is the use of thinner oxides that allow designers to use lower voltage, and subsequently, less power. These desirable effects are offset by the sensitivity of these circuits to electrical overstress (EOS) issues. In addition, some power domain design errors lead to eventual oxide breakdown, which results in device failures that occur over time. In particular, PMOS devices can be susceptible to negative-bias temperature instability (NBTI), which leads to the threshold voltage of the PMOS transistor increasing over time. This, in turn, leads to reduced switching time for logic gates, and induces hot carrier injection (HCI), which then gradually alters the threshold voltage of NMOS devices. Soft breakdown (SBD) also contributes as a time-dependent failure mechanism, contributing to the degradation effects of gate oxide breakdown. [3] [4] [5] [6] A transistor-level power-aware checking tool must also be able to statically propagate voltage values from the various supplies to every node in the circuit to facilitate a variety of reliability checks. Power-aware checking requires the ability to use the design s netlist to recognize specific circuit topologies (such as level shifters, charge pumps, I/O drivers, and other structures), and then relate those to the corresponding physical implementation that makes up the layout, to verify that these specific elements have been included and implemented correctly. Unlike the foundry DRC decks, the definition of these checks may not all come from the foundry some can be tailored to the specific design styles and practices of the designer s company so flexibility and customizability are essential features. Thin oxide gates and high power applications require tight controls for voltage and power domains. Many of these issues are difficult to identify in the simulation space or with traditional physical verification techniques. 3 [7]

4 TRANSISTOR-LEVEL POWER INTENT VERIFICATION WITH CALIBRE PERC While typical hardware description language (HDL) design and checking tools can verify digital designs at the module level, accurate reliability verification must happen at the transistor level. Calibre PERC provides a new approach to reliability verification for low-power and multi-power designs, by leveraging the information contained in the unified power format (UPF) and applying it to transistor-level designs. The UPF provides a way to annotate a design with the power and power control intent of that design (including such elements as supply nets, supply sets, power states, power switches, level shifters, isolation, and retention) that is independent of any HDL. UPFs are typically used at all levels of the design flow for P&R designs. A UPF specification at the register transfer logic (RTL) level defines the power architecture of a given design, and drives synthesis and P&R to achieve correct implementation. The UPF enables a consistent description of the power intent of a design, and provides power state tables that describe each power mode of the design. In automated reliability verification, using the same UPF specification for transistor level physical verification ensures the original power intent is preserved with the final implementation. UPF specifications can be leveraged as an integral part of Calibre PERC s understanding of power intent. Along with the design layout data and verification rule deck, Calibre PERC examines the UPF definitions of supply networks (consisting of power switches, supply ports, and supply nets) and checks each supply port s supply states and its connected supply net. Most importantly, it analyzes the power state tables defined in terms of these states to ensure it captures the legal combinations of supply voltages in the entire design. With integrated support for UPF, Calibre PERC can assign voltages based on a design s power intent, greatly improving verification coverage and robustness [2]. In both custom/analog (which is typically not implemented in HDL designs) and P&R design flows, understanding how signals cross multiple power domains in the design is paramount to ensuring robust reliability. With its comprehensive view of the design, Calibre PERC is able to leverage design flows with or without the UPF to understand the power intent down to the transistor level, then apply reliability verification at the transistor level. Multiple power and voltage domains can be automatically traced to provide a complete picture of how the design will interact. Designers can validate design intent for any number of design scenarios, such as ensuring low power rules are being honored, or that routing has been correctly implemented in the design. Specifically for low-power designs, Calibre PERC can ensure that level shifters are in place, that the correct voltages are tied to the devices, and that the correct protection circuitry is in place for transitions from one domain to another. This transistor-level power intent verification simplifies multiple-power and low-power verification by enabling a consistent description of the power intent throughout the design flow. Support for the UPF power state table allows verification of each power mode within the design, enabling a repeatable, comprehensive, and efficient design reliability verification methodology using industry standards at the transistor level. Calibre PERC can also examine all thin oxide transistors of the circuit to catch any occurrence of a voltage across the device terminals that would lead to gradual oxide breakdown and device failure. A list of thin oxide transistors is identified by the Calibre PERC rule deck from the complete transistor list that is automatically detected by Calibre PERC during voltage propagation [1]. Some of the more obvious reliability checks are available from foundries in Calibre PERC rule decks, but specific methodology rule checks and internal best practices should be provided to augment these rules for complete coverage. The functionality provided by Calibre PERC rule decks may be easily enhanced to provide verification beyond standard foundry rule decks to include custom reliability verification requirements. Users can insert reliability verification into their existing design flows with Calibre PERC as part of an integrated Calibre platform for cell, block, and full-chip verification. Combining rules expressed in the proprietary SVRF and the Tcl-based TVF 4 [7]

5 language across all applications provides users with flexibility to meet the specific and evolving needs of their design teams, while ensuring compatibility with all foundries. Figure 4: Unless level shifters are recognized by the verification tool, they can create false errors that slow down the debugging process [7]. Debugging is a key component of any verification flow. One common problem for designers trying to debug power violations at the transistor level with existing tools is a lack of knowledge of the power intent of the circuit where the violation is found. Without a clear understanding of how the circuit works, debugging often becomes a task bogged down with the elimination of false errors caused by level shifters and other protection mechanisms that have not been accounted for. Calibre PERC eliminates these false errors by using a circuit recognition technique to identify particular topologies used to provide these power domain transitions. For example, Figure 4 shows a typical level shift circuit [7]. Simply checking for transistors connected to multiple domains results in a large number of false errors at the boundary between power domains, where level shifter structures intentionally include transistors exposed to both low and high voltages (i.e., systematic violations would be reported on {M2, M3} transistors). However, if these structures are identified by Calibre PERC as level shifters, these false errors can be quickly waived [7]. Additional sources of false errors are the isolation cells used between OFF and Always-ON domains. The circuit recognition functionality within Calibre PERC uses the SPICE syntax as an easy way to define these types of complex circuit structures (Figure 5). Whenever such violations are detected, these false errors can be quickly waived internally by the tool, using topological recognition [7]. Calibre PERC readily integrates into your design flow, while Calibre RVE provides a results viewing and debugging environment that can highlight Calibre PERC results and geometries, and access connectivity information, to make debugging reliability checks easy, quick, and thorough (Figure 6). Figure 5: Isolation cells between to-voltage domains are another example of false positives that can occur without knowledge of the function of circuit structures [7]. Figure 6: Calibre PERC results can be easily and efficiently reviewed and debugged in Calibre RVE. 5 [7]

6 Accurate and repeatable reliability verification is now a critical capability, both for advanced nodes and for increasingly complex products being produced at established nodes. Calibre PERC is the only comprehensive solution capable of providing transistor-level power intent verification without the need for SPICE simulation on both the schematic and layout side of your design. As part of the Calibre platform, it integrates easily into existing signoff flows, with comprehensive debugging support provided by Calibre RVE. Calibre PERC provides an easy-touse, automated verification solution for low-power and multiple-power domain designs, ultimately reducing cost and time to market, while providing the diagnostic insight to help you improve yield and device reliability. To learn more about Calibre PERC s full range of capabilities, visit our website at: 6 [7]

7 REFERENCES [1] Lescot, J., Bligny, V., Medhat, D., Static Low Power Verification at Transistor Level for SoC Design, Proc. ACM/IEEE International Symposium on Low Power Electronics and Design (2012), Doi: / [2] Hogan, M., Robust Reliability Verification Beyond Traditional Tools and Techniques, SemiWiki.com (May 2013). URL: [3] Hamed Abrishami, et al., NBTI-Aware Flip-Flop Characterization and Design, GLSVLSI 08, May 4 6, 2008 [4] B.C. Paul, K. Kang, H. Kuflouglu, M. A. Alam and K. Roy, Impact of NBTI on the temporal performance degradation of digital circuits, Electron Device Letter, vol. 26, no. 8, pp , Aug [5] Hong Luo, et al., Modeling of PMOS NBTI Effect Considering Temperature Variation, 8th International Symposium on Quality Electronic Design (ISQED 07) [6] Jin Qin, et al., SRAM Stability Analysis Considering Gate Oxide SBD, NBTI and HCI,, 2007 IIRW FINAL REPORT [7] Medhat, D., Power-Aware Verification in Low-Power ICs, Chip Design (Fall 2012). URL: com/display.php?articleid=5163 For the latest product information, call us or visit: w w w. m e n t o r. c o m 2013 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MGC TECH11370

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Programmable Electrical Rule Checking (PERC)

Programmable Electrical Rule Checking (PERC) AppNote 10655 Programmable Electrical Rule Checking (PERC) By: Dina Medhat Last Modified: 28-Oct-2008 Copyright Mentor Graphics Corporation 1995-2008. All rights reserved. This document contains information

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

DRVerify: The Verification of Physical Verification

DRVerify: The Verification of Physical Verification DRVerify: The Verification of Physical Verification Sage Design Automation, Inc. Santa Clara, California, USA Who checks the checker? DRC (design rule check) is the most fundamental physical verification

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A NICOLAS WILLIAMS, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS JEFF MILLER, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS A M S D

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook DRC/LVS Rules Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Multi-Domain Verification: When Clock, Power and Reset Domains Collide Multi-Domain Verification: When Clock, Power and Reset Domains Collide Ping Yeung, Erich Marschner Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Multi-Board Systems Design

Multi-Board Systems Design Multi-Board Systems Design D A T A S H E E T MAJOR BENEFITS: Xpedition optimizes multi-board system design from logical system definition through manufacturing. Overview Electronic multi-board systems

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

Challenges in Verification of Clock Domain Crossings

Challenges in Verification of Clock Domain Crossings Challenges in Verification of Clock Domain Crossings Vishnu C. Vimjam and Al Joseph Real Intent Inc., Sunnyvale, CA, USA Notice of Copyright This material is protected under the copyright laws of the U.S.

More information

Verifying the Correctness of the PA 7300LC Processor

Verifying the Correctness of the PA 7300LC Processor Verifying the Correctness of the PA 7300LC Processor Functional verification was divided into presilicon and postsilicon phases. Software models were used in the presilicon phase, and fabricated chips

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

ACCELERATING DO-254 VERIFICATION

ACCELERATING DO-254 VERIFICATION ACCELERATING DO-254 VERIFICATION ACCELERATING DO-254 VERIFICATION INTRODUCTION Automated electronic control systems or avionics allow lighter, more efficient aircraft to operate more effectively in the

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

INTELLIGENT LOAD SWITCHING HELPS IMPLEMENT RELIABLE HOT SWAP SYSTEMS

INTELLIGENT LOAD SWITCHING HELPS IMPLEMENT RELIABLE HOT SWAP SYSTEMS INTELLIGENT LOAD SWITCHING HELPS IMPLEMENT RELIABLE HOT SWAP SYSTEMS March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Comprehensive CDC Verification with Advanced Hierarchical Data Models

Comprehensive CDC Verification with Advanced Hierarchical Data Models Comprehensive CDC Verification with Advanced Hierarchical Data Models by Anwesha Choudhury, Ashish Hari, Aditya Vij, and Ping Yeung Mentor, A Siemens Business The size and complexity of designs, and the

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Introduction to VHDL. Module #5 Digilent Inc. Course

Introduction to VHDL. Module #5 Digilent Inc. Course Introduction to VHDL Module #5 Digilent Inc. Course Background Availability of CAD tools in the early 70 s Picture-based schematic tools Text-based netlist tools Schematic tools dominated CAD through mid-1990

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Robert Meyer Medtronic, Inc. 8200 Coral Sea Street NE MS MVC61 Mounds View, MN 55112 robert.j.meyer@medtronic.com

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information