MLR Institute of Technology

Similar documents
INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

Verilog for High Performance

(ii) Simplify and implement the following SOP function using NOR gates:

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Digital System Design with SystemVerilog

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

VHDL for Synthesis. Course Description. Course Duration. Goals

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

Verilog Tutorial (Structure, Test)

COPYRIGHTED MATERIAL INDEX

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

Code No: R Set No. 1

Verilog Essentials Simulation & Synthesis

A Tutorial Introduction 1

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

END-TERM EXAMINATION

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

Hours / 100 Marks Seat No.

: : (91-44) (Office) (91-44) (Residence)

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

PINE TRAINING ACADEMY

VALLIAMMAI ENGINEERING COLLEGE

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

VALLIAMMAI ENGINEERING COLLEGE

The Verilog Hardware Description Language

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Reference Sheet for C112 Hardware

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

FPGA for Software Engineers

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Injntu.com Injntu.com Injntu.com R16

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

EEL 4783: HDL in Digital System Design

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

Register Transfer Level in Verilog: Part I

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

430 Index. D flip-flop, from nands, 189, 191, 192 D flip-flop, verilog, 37

CSE140L: Components and Design Techniques for Digital Systems Lab

Digital logic fundamentals. Question Bank. Unit I

Hardware Description Languages (HDLs) Verilog

Digital VLSI Design with Verilog

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

Code No: R Set No. 1

Programmable Logic Devices Verilog VII CMPE 415

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

CSE140L: Components and Design

קורס VHDL for High Performance. VHDL

UNIT V: SPECIFICATION USING VERILOG HDL

10EC33: DIGITAL ELECTRONICS QUESTION BANK

EECS150 - Digital Design Lecture 10 Logic Synthesis

Synthesis of Combinational and Sequential Circuits with Verilog

R10. II B. Tech I Semester, Supplementary Examinations, May

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan

Lecture 12 VHDL Synthesis

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

Combinational Logic II

Chapter 2 Basic Logic Circuits and VHDL Description

Lecture #1: Introduction

Scheme G. Sample Test Paper-I

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language


EECS150 - Digital Design Lecture 10 Logic Synthesis

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

Code No: R Set No. 1

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

IT T35 Digital system desigm y - ii /s - iii

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Code No: 07A3EC03 Set No. 1


DE Solution Set QP Code : 00904

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Digital Design with FPGAs. By Neeraj Kulkarni

Lecture 15: System Modeling and Verilog

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F

Introduction to Verilog design. Design flow (from the book)

Synthesizable Verilog

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

Transcription:

MLR Institute of Technology Laxma Reddy Avenue, Dundigal, Quthbullapur (M), Hyderabad 500 043 Course Name Course Code Class Branch ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK : DIGITAL DESIGN USING VERILOG HDL : A40410 : II - B. Tech : ECE Year : 014 015 Course Coordinator : Course Faculty OBJECTIVES Mr. M.Srikanth : Ms.A.Deepthi Designing digital circuits at behavioral and RTL modeling of digital circuits using verilog HDL. verifying these models, and synthesizing RTL models to standard cell libraries and FPGAs. Students gain practical experience by designing, modeling, implementing and verifying several digital circuits. This course aims provide students with the understanding of different technologies related to HDLs, constructs, compile and execute verilog HDL programs using provided software tools. Design digital components and circuits that are testable, reusable and synthesizable. Blooms Taxonomy Program S. No QUESTION UNIT-I INTRODUCTION TO VERILOG HDL Define verilog HDL? Remember M 1 3 4 5 6 7 8 List levels of design description in verilog HDL? Remember M Describe is concurrency? Remember N What is simulation and synthesis? Evaluate M What is functional verification? Evaluate N What are system tasks? Evaluate M Write short notes on programming language interface (PLI). Evaluate N What is module? Evaluate N

10 What is a simulation and synthesis tool? Evaluate M What is test bench? Evaluate M S. No QUESTION 11. Define keywords and identifiers? Remember N 1. What are white space characters? Evaluate N 13. Define comments and numbers? Remember N 14. Define strings and logic values? Remember N 15. What is a data types? And what are those? Evaluate N 16. Define scalars and vectors? Remember N 17. Define parameters and memory operators? Remember N 18. Define system tasks? Remember N UNIT-II GATE LEVEL MODELING AND MODELING AT DATAFLOW LEVEL 1 What is gate level modeling? Evaluate N What is AND gate primitive? Evaluate M 3 What is module structure? Give the example of module structure. Evaluate N 4 Define tri-state gate? Remember N 5 What is array of instances of primitives? Evaluate M 6 Define delay? Remember N 7 Define strengths and content resolution? Remember N 8 What is a net data type? Evaluate N 10 11. 1. 13. How many types of net data types? Evaluate N How many tri-state gates are there in verilog? Evaluate M What is continuous assignment structure? Evaluate M What is assignment to vectors? Evaluate N Define operators in verilog? Remember N UNIT-III BEHAVIORAL MODELING

1. What is behavioral modeling? Evaluate N. What are operations and assignments? Evaluate N 3. Define functional Bifurcation. Remember N Blooms Taxonomy program S. No QUESTION 4. Define initial construct. Remember M 5. Define always construct. Remember M 6. Explain assignments with delays Understand A 7. Define wait construct Remember N 8 Explain multiple always blocks Understand C. Define blocking and non-blocking assignments Remember N 10. Explain the case statement Understand B 11. Draw a simulation flow chart Analyze N 1. Explain if and if-else construct Understand N 13. Explain assign and de-assign construct. Understand M 14. Define repeat construct Remember M 15. Write the syntax for a for loop Apply M 16. Write the syntax for a while loop and forever loop Apply N 17. Explain parallel blocks Understand C 18 Explain force release construct Understand M UNIT-IV SWITCH LEVEL MODELING, SYSTEM TASKS FUNCTIONS AND COMPILER DIRECTIVES 1. Explain basic transistor switches. Understand C. Define basic switch primitive. Remember M 3. Explain the operation of nmos switch. Understand C 4. Explain the operation of pmos switch. Understand C 5. 6. Define resistive switches. Remember M Define cmos switch. Remember M Explain Bi-Directional gates. Understand C 7. How to insatiate with strength and delays. Understand B 8.. Define system task. Remember N

10. 11. 1. 13. 14. Define parameter. Remember N Explain parameter declaration and assignments. Understand C Define module paths. Remember N Define specify block. Remember N Define system function. Remember N S. No QUESTION 15. Explain $display Task. Understand C 16. Explain file based tasks and functions. Understand C 17. Explain compiler directives. Understand C 18. Define hierarchical access. Remember N UNIT-V SEQUENTIAL CIRCUIT DESCRIPTION, COMPONENT TEST VERIFICATION 1. What are the types of sequential models? Evaluate B. What are the basic memory components? Evaluate N 3. Explain functional register. Understand M 4. Define state machine coding. Remember B 5. How do you explain sequential synthesis? Understand B 6. What is test bench? Evaluate N 7. How to test a combinational circuit. Understand M 8. What is sequential circuit testing? Evaluate M Explain test bench techniques. Understand M 10. Define design verification. Remember M 11. Define assertion verification. Remember M. Group - II (Long Answer Questions) S. No Question UNIT-I INTRODUCTION TO VERILOG HDL

1 3 Write short note on Verilog as HDL Understanding N Discuss Level of design description. Demonstrate C Explain top-down design methodology with example. Understand N S. No Question Write short notes on, Apply K 4 (a) Concurrency (b) Functional verification Define the following terms relevant to Verilog HDL, Remember B 5 (a). Simulation versus synthesis. (b). PLI (c). System tasks. what are the system tasks available in Verilog for making and controlling Evaluate K 6 simulation? Explain about, Understand K 7 (a). Display tasks (b). Strobe tasks (c). Monitor tasks with examples. Define the following terms relevant to Verilog HDL. Remember K 8 10. (a). Module (b). Test bench. Write a syntax functions and tasks with one example. Apply B Explain the synthesis procedure in Verilog HDL. Understand N Give the surfaces for Verilog module and explain gate instantiations with create 11. examples. N 1 UNIT-II GATE LEVEL MODELING AND DATAFLOW LEVEL MODELING Explain in brief built-in primitive gates that are available in Verilog HDL. Understand N Explain NAND gate primitive with Verilog module. Understand N

S. No Question Explain NOR gate primitive with Verilog module. Understand K 3 4 5 Design a module for addition of 16 bit words. Analyze M Write Verilog module for addition 16 bit words. Apply K What is a three-state gate and explain each type of three-state gate with Evaluate M 6 truth tables? 7 Write a Verilog code for tri-state devices. Apply K Write Verilog HDL source code for a gate level description of 4 to 1 Apply K 8 multiplexer circuit. Draw the relevant logic diagram. Implement Verilog HDL source code and draw the logic diagram of a -to-4 Evaluate B. decoder circuit. Give the gate level description. 10. 11. 1. 13. 14. 15. 16. 17. 18. 1. 0. 1.. Design module and a test bench for a half-adder. Analyze M Design module and a test bench for a 4 to 1 multiples module. Analyze M Explain simple latch with Verilog module. Understand C Design a RS-flip with NAND gates. Analyze M Write a Verilog code for RS flip-flop with NAND gates. Apply K Explain clocked RS flip-flop Verilog module and test bench. Understand C Design a D-Flip-flop with gate primitives and write its Verilog code. Analyze M Design a D flip flop using NAND gates. Create C Write a Verilog code for D flip flop using NAND gates. Apply M Classify delays and explain. Creating K Explain inertial and intra-assignment delays in Verilog. Understand C Design a JK flip flop using NAND gates. Create B Write a Verilog code for JK flip flop using NAND gates. Apply M Explain the design approach of a master slave flip-flop with gate primitives. Apply M 3. (OR) Design a master slave JK flip-flop using NAND gates. 4. Write a Verilog code for master slave JK flip flop using NAND gates. Apply N

S. No Question Design a T flip flop using NAND gates. Create B 5. 6. 7. 8.. Write a Verilog code for T flip flop using NAND gates. Apply N Write notes on gate delays with necessary instantiations. Apply N Explain delays with tristate gates. Apply N Classify and explain strength and contention resolution. Creating M Design module to illustrate use if the wand-type net and test bench with Create M 30. stimulation results. Draw the half adder circuits in terms of EX-OR and AND gates. Prepare the Evaluate M 31. half adder module and test bench in terms of and AND gate primitives. 3. 33. 34. 35. Design a module and test bench for a full-adder. Create M Design a 4 X 4 multiplier circuit and write its Verilog HDL code. Create B Write a Verilog HDL code for ripple-carry adder using generic specification? Apply M Design a 4 bit full adder using gate level primitives and write its HDL code. Create M Design a 1to 4 demultiplexer module by using to 4 decoder, and white its Create N 36. Verilog code. 37. Explain continuous assignment structures with examples. Understand C 38. Explain about the concurrent statements in data flow level. Give one example to each one. Understand C 3. Explain net delay with assignment delay and effects of net delay with suitable example. Understand C 40. Explain combining assignment and net declarations with examples. Understand B UNIT-III BEHAVIORAL MODELING Write a short note on, Apply M

1 3 4 5 (a). Functional bifurcation (b). Intra-assignment delays. Write the differences between begin-end and fork-blocks with examples. Apply M Design up counter coding procedural assignment. Create M Write up counter test bench, simulation results. Analysis B Write the syntax for the following constructs and Apply K S. No Question give one example for each relevant to behavioral Verilog HDL modeling. (a). initial construct, (b). always construct (c). wait construct. B What is the difference between an intra- statement delay and an inter- remembering M 6 statement delay? explain using an example. 7 8 10 Write short notes on the following with examples, Apply N (a). Intra-assignment delays (b). Delay assignments (c). Zero delay. What are the advantages of multiple always blocks? Explain with example. remembering M Write a Verilog module for a rudimentary serial transmitter module. Apply K Explain multiple always blocks. Understand C Write a model using the behavioral modeling style to describe the behavior Apply B 11. of a JK flip- flop using an always statement. 1. 13. 14. (a). Design Verilog module to identify the highest priority interrupts. Create M (b). Write test bench simulation results of above questions with explanation (a). Design module to convert angels in radians to one in degrees. Create M (b). Write Verilog code above question with explanation. Explain blocking and non-blocking statement with examples. Understand C Write a Verilog HDL code for n-bit shift register with an enable input using Apply K 15. blocking assignments.

Draw the flowchart for the simulation flow. OR Explain flowchart for the Understand C 16. simulation flow. 17. Write Verilog code using case statement for any one example. Apply M S. No Question Write the syntax for the following constructs and give one example for each Apply K levant to behavioral Verilog HDL modeling. 18. (a). The case statement (b). If and if-else constructs. 1 Design half subtractor using CMOS switches. Create M Write the Verilog code for half subtractor using CMOS switches. Apply K 3 Design code, test bench, results for CMOS switch with a single control line. Create M 4 Design CMOS flip-flop. Create M 5 Design Verilog module for CMOS flip-flop. Create M Explain bi-directional gates with suitable logic diagrams and give their switch Understand C 6 level modeling 7 Design half -adder using CMOS switches. Create M 8 Write the Verilog code for half adder using CMOS switches. Apply K Write about basic switch primitives. Apply K 10 Write notes on time delays with switch primitives relevant to switch level modeling. understanding B How strength and delays are instantiated? Explain. OR 11 Write notes on instantiations with strength and delays relevant to switch level understanding N modeling. Define and explain the following terms relevant to Verilog HDL, Remember M (a) Module parameters 1 (b) File-based tasks and functions (c) Compiler directives. 13 Explain parameter declaration and assignments. Understand B 14 Explain type declaration for parameters. Understand B 15 Explain automatic(recursive) function. Understand B 16 Explain about module paths. Understand B Define and explain the following terms relevant to Verilog HDL, Remember M (a) Hierarchical access

17 (b) Path delays. 18 Explain $ finish task with example. Understand B 1 Explain $ random function with example. Understand B 0 Explain asymmetric sequence generator with example. Understand B UNIT-V SEQUENTIAL CIRCUIT DESCRIPTION 1 What are the various sequential memory storage models? Explain in detail Evaluate N S. No Question about each of them. Explain cross-coupled NOR latch and ALL NAND clocked SR latch with the help Understand of neat sketches and write the Verilog cods for each of them. M Draw the block diagram of master-slave flip-flop constructed using latches analyze B 3 and write the Verilog code for the same. 4 Explain about sequential UDP with the help of an example. Understand C Draw and explain the block diagram of master-slave flip-flop with two analyze B 5 feedback blocks using assign statements. Also write the Verilog code for the same. Explain behavioral modeling for D-type latch and the use of non-blocking Understand B 6 assignments in latch modeling. Also with the Verilog code for each of them. 7 Write and explain the Verilog module for positive edge trigger flip-flop. Understanding M 8 Write a Verilog module for D flip-flop with synchronous control and asynchronous control. And compare the controls of both. Understanding N What is function of fork-join construct? Design a Verilog module for D flipflop using this construct. Evaluate N 10 Write a Verilog code for D flip-flop using assign and deassign statements. Understanding N 11 Define setup time. Write a Verilog code for D flip-flop setup time. Remember n 1 Define hold time. Design a Verilog module for D flip-flop with hold time. Remember n 13 Discuss about setup hold, width and period checks used in Verilog. Write a Verilog module for D flip-flop using setup hold, width and period checks. Remember n Design a Verilog module for the following, (i) 8-bit transparent D-Latch Create M 14 (ii) 8-bit register with tri-state output. 15 How the memory initialization does is carried out in Verilog? Explain with the help of an example. Create M 16 What are the rules to be followed to declare and to use the bidirectional lines? Evaluate N 17 Write a Verilog module for PLA. Understand K 18 What is functional register? Write and explain the Verilog module for basic shift register? Evaluate K 1 Design and explain the Verilog module for universal shift register. Create M Explain about shift register that uses separates combinational and Understand I 0 sequential blocks. Also write a Verilog code for the same.

1 Write a Verilog code for 4-binary up-down counter. Understanding N Write a short notes on gray-code counter. Also design a Verilog module for Understanding M the same. 3 Explain about LFSR and design its Verilog module in structural model. Understand M 4 Explain MISR with the help of a neat sketch and also write the Verilog code Understand for the same. K 5 Explain about FIFO Queue with the help of block diagram. Understand N 6 Write a Verilog code for FIFO Queue. Understanding N 7 Write a short notes on Moore 101 sequence detector. And write the Verilog code for the same. Understanding M 8 Explain in brief about Mealy 101 sequence detector. Understand N Explain how the state machine is designed for large number of input-output line. Understand N S. No Question Write a Verilog code for moore detector using Huffman model. Also explain 30 it. Understanding M 31 Explain about ROM-based controller. Write the Verilog code for the same. Understand N Explain about the following with the help of neat block diagram, Understand N 3 (a) Implementation of FPGA latch (b) Implementation of FPGA flip-flop. Write a Verilog module for 4-bit ALU, also obtain its test bench and Understanding N 33 simulation results. 34 Write and explain the test bench for multi input signature register. Understanding N 3. Group - III (Analytical Questions) S.No QUESTIONS UNIT-I INTRODUCTION TO VERILOG HDL Using examples, explain about concurrent and procedural statement with Understand B 1 syntaxes. 3 Explain port declaration with an example using Verilog code. Understand C Explain the components of a Verilog module with block diagram. Understand C Define the following terms relevant to Verilog HDL construct and onventions. Remember N 4 (a). Identifiers (b). Strings (c). Data types.

Define the following terms relevant to Verilog HDL constructs and conventions. Remember N 5 (a). Keywords (b). Strengths (c). Parameters. 6 Explain about number system used in Verilog. Understand C Define the following terms relevant to Verilog HDL construct and conventions. Remember N 7 (a). Comments, (b). Scalars and vectors. (b). Scalars and vectors. 8 Write about and differences scalars vectors in Verilog module with examples. Apply K S.No QUESTIONS Using examples, explain about concurrent and procedural statement with comprehension C syntaxes. 10 Explain port declaration with an example using Verilog code. Understand B Define the following terms relevant to Verilog HDL constructs and conventions. Remember N 11 (a). Logic values (b). Operators. 1 Write about white space characters and variables with examples. Apply k UNIT-II GATE LEVEL MODELING AND DATAFLOW LEVEL MODELING

1 Write a Verilog HDL code for n-bit right-to-left shift register using data flow Apply K level. Give the list of operations in data flow level and give one example for each one. OR Apply Comprehension k Write short notes for the following with examples. (a) Unary operators (b) Binary operators

S.No (c) (d) QUESTIONS Arithmetic operators relevant to behavioral Verilog HDL modeling. Logical operators. (a). assign-deassign construct 3 Explain about (b). repeat operator construct priority with examples. Understand C 4 Explain bit widths of expressions. Understand V 5 Design a (c). Verilog for loop. module for a 4 to 1 vector multiplexer or module at data flow level. Create m Give the block diagram of one digit BCD adder and write its Verilog HDL code. OR Create B,C 6 Design a Verilog module for a BCD adder module at the data flow level. K Write a data flow model for a -bit parity generator circuit. Use only two Apply k 7 assignment statements. Specify rise and fall delays as well. 8 Explain NMOS enhancement with conditions. Understand B Design a Verilog module of a 4-bit bus switcher at the data flow level. Create m 10 Design Verilog module of an edge triggered flip-flop built with the latch at the Create m data flow level. 1 UNIT-III BEHAVIORAL MODELING Write the syntax for the following constructs and give one example for each Apply k

Write the syntax for the following constructs and give one example for each Apply k relevant to behavioural Verilog HDL modeling. (a). The disable construct (b). While loop (c). force-release construct. 3 4 5 6 7 8 Explain about forever loop. Apply k Define while loop, write syntax with flow chart. Remembering m What is the difference between a sequential block and a parallel block? Explain Evaluate N using an example. (a) Design Verilog code of OR gate using for and disable. creating c (b) Write simulation results of above question with explanation. Write syntax for for while loop and write a Verilog code for n bit Johnson Evaluate N counter. Explain event construct in a module. Understand C Explain stratified event queue. Understand C Design Verilog module event construct for a serial data receive and test bench Create m 10 for the same. UNIT-IV SWITCH LEVEL MODELING 1 Explain automatic(re-entrant) tasks with example. Understand C Explain and design Verilog module of timing related parameter with example. Understand C 3 Explain edge sensitive path using an example. Understand C 4 Explain overriding parameters. Understand C 5 Design Verilog module for left/right shifter. Create B 6 Design Verilog module using path delay. Create m 7 (a) Design Verilog module use of specify block to specify out rise end full time Create b

S.No QUESTIONS separation for spin delays. (b)write test bench and simulation for the above. K 8 (a) Design the use of group delay with an ALU module. Create m (b) Write test bench and simulation results for the above. What do you mean by User Defined Primitives (UDP) and explain the types with understand N,K examples 10 Give the syntax for function and write a program for 16-to-1 multiplexer using unserstand B function. UNIT-V SEQUENTIAL CIRCUIT DESCRIPTION 1 Design a Verilog module for 101 moore detector and also obtain its test bench. Create m How the simulation of test bench can be controlled? Explain with help of an Understanding N example. 3 Write a test bench for moore detector for synchronized data input. Understand B 4 Write a test bench for moore detector to display the synchronization result. Understanding N 5 Write a test bench for moore detector to observe its states. Understanding N 6 Write a Verilog module for 1101 moore detector. Also obtain its test bench and Understanding N simulation results. 7 Write an interactive test bench for 1101 moore detector using display tasks. Understanding N 8 Write a test bench for moore detector to control the delay. Understanding N Write a test bench for moore detector which makes uses of buffer to hold the Understanding N data. 10 Explain in detail about formal verification of a system. understand C 11 Write in detail about assertion verification. Also give its benefits. Understanding N 1 What is the function of assert_always monitor? Explain with the help of an Evaluate B example. 13 Explain the assert_change and assert_one_hot monitor with the help of an Understand ib example. What is the use of assert_cycle_sequence and assert next? Explain using an Evaluate N 14 example. 15 With the help of an example explain about the resetting sequqnce of controller. Evaluate N Explain the following, Understand C (i) Initial resetting 16 (ii) Assert_implication. 17 How the valid states of a machine can be checked? Explain using an example. Understand C

S.No QUESTIONS separation for spin delays. (b)write test bench and simulation for the above. K 8 (a) Design the use of group delay with an ALU module. Create m (b) Write test bench and simulation results for the above. examples What do you mean by User Defined Primitives (UDP) and explain the types with understand N,K 10 Give the syntax for function and write a program for 16-to-1 multiplexer using unserstand B function. SEQUENTIAL CIRCUIT DESCRIPTION UNIT-V 1 Design a Verilog module for 101 moore detector and also obtain its test bench. Create m How the simulation of test bench can be controlled? Explain with help of an Understanding N example. 3 Write a test bench for moore detector for synchronized data input. Understand B 4 Write a test bench for moore detector to display the synchronization result. Understanding N 5 Write a test bench for moore detector to observe its states. Understanding N Write a Verilog module for 1101 moore detector. Also obtain its test bench and Understanding N 6 simulation results. 7 Write an interactive test bench for 1101 moore detector using display tasks. Understanding N 8 Write a test bench for moore detector to control the delay. Understanding N Write a test bench for moore detector which makes uses of buffer to hold the data. Understanding N 10 Explain in detail about formal verification of a system. understand C 11 Write in detail about assertion verification. Also give its benefits. Understanding N What is the function of assert_always monitor? Explain with the help of an Evaluate B 1 example. Explain the assert_change and assert_one_hot monitor with the help of an Understand ib 13 example. What is the use of assert_cycle_sequence and assert next? Explain using an Evaluate N 14 example. 15 With the help of an example explain about the resetting sequqnce of controller. Evaluate N Explain the following, Understand C (i) Initial resetting 16 (ii) Assert_implication. 17 How the valid states of a machine can be checked? Explain using an example. Understand C