Designing with ALTERA SoC

Similar documents
Designing with ALTERA SoC Hardware

Introduction to the Qsys System Integration Tool

Designing with Nios II Processor for Hardware Engineers

«Real Time Embedded systems» Cyclone V SOC - FPGA

קורס VHDL for High Performance. VHDL

Qsys and IP Core Integration

HPS SoC Boot Guide - Cyclone V SoC Development Kit

Designing Embedded Processors in FPGAs

Creating PCI Express Links in Intel FPGAs

Copyright 2014 Xilinx

Cyclone V SoC HPS Release Notes

Nios II Embedded Design Suite Release Notes

Design of Embedded Hardware and Firmware

Laboratory Exercise 5

Intel SoC FPGA Embedded Development Suite (SoC EDS) Release Notes

Copyright 2016 Xilinx

Day #1. STM32F0 Core. Cortex-M0 Architecture. Cortex-M0 Instruction Set

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

FPGA Adaptive Software Debug and Performance Analysis

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Digital Systems Design

Introduction to Embedded System Design using Zynq

SoC Platforms and CPU Cores

Test and Verification Solutions. ARM Based SOC Design and Verification

Project Documentation

NIOS CPU Based Embedded Computer System on Programmable Chip

Bare Metal User Guide

System Debugging Tools Overview

Altera SoC Embedded Design Suite User Guide

Cortex-A5 MPCore Software Development

Embedded Design Handbook

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

Altera SoC Embedded Design Suite User Guide

קורס SystemVerilog Essentials Simulation & Synthesis.. SystemVerilog

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

2.5G Reed-Solomon II MegaCore Function Reference Design

SoC-FPGA Design Guide DE0-Nano-SoC Edition

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Zynq Architecture, PS (ARM) and PL

Cortex-A15 MPCore Software Development

Intel SoC FPGA Embedded Development Suite User Guide

HyperBus Memory Controller (HBMC) Tutorial

Creating a System With Qsys

Intel Stratix 10 SoC FPGA Boot User Guide

Cortex-M3/M4 Software Desig ARM

Customizable Flash Programmer User Guide

HyperBus Memory Controller (HBMC) Tutorial

Advanced ALTERA FPGA Design

9. Building Memory Subsystems Using SOPC Builder

Excalibur Device Overview

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

Altera JTAG-to-Avalon-MM Tutorial

Implementing JESD204B IP Core System Reference Design with ARM HPS As Control Unit (Baremetal Flow)

HyperBus Memory Controller (HBMC) Tutorial

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide

ARM-Based Embedded Processor Device Overview

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design.

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3

Cyclone V SoC PCI-Express Root Port Example Design. Application Note

Creating a System With Qsys

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

HyperBus Memory Controller (HBMC) Tutorial

Creating projects with Nios II for Altera De2i-150. By Trace Stewart CPE 409

System Cache (CMS-T002/CMS-T003) Tutorial

Cover TBD. intel Quartus prime Design software

AN 812: Qsys Pro System Design Tutorial

The Nios II Family of Configurable Soft-core Processors

Cover TBD. intel Quartus prime Design software

DDR and DDR2 SDRAM Controller Compiler User Guide

ALTERA FPGAs Architecture & Design

Introduction to ARMv8-A

Arria 10 JESD204B IP Core Design Example User Guide

Interconnects, Memory, GPIO

Intel Stratix 10 SoC FPGA Boot User Guide

9. Verification and Board Bring-Up

DE2 Board & Quartus II Software

Generic Serial Flash Interface Intel FPGA IP Core User Guide

S2C K7 Prodigy Logic Module Series

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Synaptic Labs' Hyperbus Controller Design Guidelines

NIOS CPU Based Embedded Computer System on Programmable Chip

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1

Cyclone V SoCs. Automotive Safety Manual. 101 Innovation Drive San Jose, CA MNL Subscribe Send Feedback

SoC-FPGA Design Guide

Interrupting SmartFusion MSS Using FABINT

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Synaptic Labs HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Digital Systems Design. System on a Programmable Chip

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

FPGA for Software Engineers

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

2001 Altera Corporation (1)

Zynq-7000 All Programmable SoC Product Overview

NIOS II Instantiating the Off-chip Trace Logic

System-on Solution from Altera and Xilinx

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq

WS_CCESBF7-OUT-v1.00.doc Page 1 of 8

Transcription:

Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי SoC ויכולותיהם, ממשיך בלימודמתודימעמיקשל ארכיטקטורתHPS עלכללמרכיביה, ניהולמערכתב- SoC, קונפיגורצייתמערכת, מערכתהבסים והחיבוריותהפנימית, חיבורזכרונותחיצוניים, עבודהעם,Qsys טיפולבפסיקות,.Pin Muxing הקורסממשיךבשימוש מעשיבכליסימולציה ומודל,BFM בנייתתרחישיבדיקהל- SoC, וביצוע תהליךBoot עם/בלימערכתהפעלה. הקורסמסייםבלימודממשקי Debug שקיימיםעלה- SoC וכיצדלתפעלאותם. אורך הקורס 3 ימים בסיום הקורס מטרות שיושגו הכרתמשפחותרכיבי SoC שלחברתALTERA ויכולותיהם הבנתתהליךתכנון SoC משלבההגדרהועדשלב הצריבה/טעינהווריפיקציהסופיתעל הלוחהאלקטרוני שילובIPs בתכנון קינפוגSoC ברמתמערכת (שעונים,,reset פריפרליים) עבודהעם Qsys שימושבמודלסימולציהשל SoC צריבת/טעינתהרכיבהמתכנת ווריפיקציהלאחרצריבה.1.2.3.4.5.6.7

הכרותתהליכיה- BOOT 8. טיפולבפסיקות 9. 10. חיבורזכרונות חיצונייםל- SoC אוכלוסיית היעד הקורסמיועד למהנדסיםאשרכותביםבשפת VERILOG\VHDL ומכיריםהיטבאתסביבת.QUARTUS נדרשידעמקדיםבסביבת Qsys וב- SignalTap. כלי פיתוח בקורס סימולטור HDL) (Modelsim or Active סינטיסייזרו- (Quartus II) Place & Route לוחפיתוח ALTERA SoC Cyclone V.1.2.3 תכנית הלימוד Day #1 System on Chip (SoC) Overview o Altera SoC the best of both worlds o System-level benefits of SoC o SoC device portfolio and key features o Development boards available o Hardware and software development perspectives o System development flow with Qsys and DS5 HPS Overview o HPS IP features o HPS block diagram o Cortex-A9 overview o HPS memory views o Default detail address map o Generic Interrupt Controller (GIC) overview

System Management o System management overview HPS input clocks and clock groups FPGA interface clocks o HPS Clock Manager overview HPS Clock Manager PLLs (main, peripheral, SDRAM) Flash controller clocks HPS entry/exit Safe Mode o SoC device reset pins Reset Manager overview (cold/warm/debug) Reset Manager integration o FPGA Manager overview HPS configuring FPGA fabric o System Manager overview I/O features Managed peripherals o Scan Manager overview Interconnects o Interconnect overview o Level 3 interconnect up/downsizing o AXI bridges architecture o Global Programmers View (GPV) o High performance paths o FPGA-to-HPS bridge drawbacks o Level 4 peripheral bus interconnect Peripherals o HPS peripherals overview o On-chip ROM features o On-chip RAM features o SDRAM controller features o HPS SDRAM controller configuration o Maximizing SDRAM performance o Considerations when accessing HPS SDRAM from FPGA

Direct Memory Access Controller (DMA) o DMA overview o DMAC data transfer features o DMAC peripheral flow control features o HPS DMA capabilities o When to use and not to use HPS DMA Day #2 Hardware Design Flow o Typical design flow o Qsys tool o Automatic interconnect generation o Create Quartus II project for SoC device o Start a new system in Qsys o Add IP to Qsys system o Add custom components o Methods to connect components o HPS in Qsys o HPS-Nios II system block diagram o Generate completed system o Hardware/software design flow overview o Generated software handoff files Avalon and AXI Standards o Qsys-supported standard interfaces o Advantages of using standard interfaces o Avalon-MM interfaces o AXI overview o AXI features o Handshake examples o AXI write transaction o AXI read transaction o Component editor AMBA support o AXI specification o Qsys memory-mapped packet format

o Which protocol to choose: Avalon or AXI? HPS Component Configuration o Hard processor system component o General options & Boot control o Events o General Purpose I/O (GPIO) o Debug APB o System Trace Macrocell o Cross Trigger Interface (CTI) o Trace port interface o Boot from FPGA o AXI bridges o FPGA-HPS bridge interfaces o Accessing HPS memory from FPGA o FPGA-to-HPS SDRAM interface o Resets o DMA control o Interrupts o GIC overview (SGIs, PPIs, SPIs) o Peripheral pin multiplexing o HPS I/O muxing overview o Ethernet o Other peripheral options (QSPI, SPI master, UART) o Pin usage & conflicts o HPS pin assignments o HPS clocks o SDRAM embedded memory interface LAB #1: Creating an ARM based SoC system using Qsys

Day #3 HPS Simulation o Bus Functional Models (BFMs) o Simulation flow o Slave component testing o Master component testing o HPS system testing o HPS simulation support interfaces o Generate Testbench Qsys system o Testbench directory structure o Qsys Testbench system HPS system o Writing the test AXI BFM API overview o Testbench example o Using conduit BFMs o Run simulation script SoC FPGA Configuration and Booting o HPS boot stages o SoC configurations & Boot sequences o Boot schemes independent o Boot schemes FPGA first o Boot schemes HPS first o HPS Power On/Reset o HPS Boot ROM o HPS preloader o HPS user Bootloader o HPS Linux OS start up o Bare Metal programming o SoC Boot phases o HWLibs components

Hardware Debug o Debug interfaces (JTAG, Ethernet) SignalTap II Logic debug System console FPGA adaptive debugging o System console overview Usage examples System console interfaces System console GUI launch System console services Service types o SignalTap II cross triggering Cross triggering Cross Triggering Interface (CTI) Altera SoC debug architecture Export CTI to custom hardware SignalTap II configuration for cross trigger o ARM DS-5 debugger Debug perspective registers view Run debugger and SignalTap II Logic Analyzer LAB #2: exercise the FPGA using the system console tool LAB #3: debugging hardware using SignalTap II Logic Analyzer