Analog Behavior Refinement in System Centric Modeling

Similar documents
Analog Behavior Refinement in System Centric Modeling

Fast and Unified SystemC AMS - HDL Simulation

Modeling embedded systems using SystemC extensions Open SystemC Initiative

Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it*

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Analog Mixed Signal Extensions for SystemC

Sneak Preview of the Upcoming SystemC AMS 2.0 Standard

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS

SystemC-AMS Requirements, Design Objectives and Rationale

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Mixed-Signal Extensions for SystemC

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Hardware-Software Codesign. 6. System Simulation

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

Parag Choudhary Engineering Architect

Hardware in the Loop Functional Verification Methodology

A Synchronization Algorithm for VHDL-AMS Simulation with ADA Feedback Effect

Mixed Signal Verification Transistor to SoC

AMS Behavioral Modeling

IOT is IOMSLPT for Verification Engineers

Specification and Validation for Heterogeneous MP-SoCs

A mixed signal verification platform to verify I/O designs


Hardware Description Languages & System Description Languages Properties

THE DESIGNER S GUIDE TO VERILOG-AMS

Cosimulation of ITRON-Based Embedded Software with SystemC

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07

Experience the Next ~Wave~ of Analog and Digital Signal Processing using SystemC AMS 2.0 Session 1: SystemC AMS Introduction

Comparison of models. Peter Marwedel Informatik 12, TU Dortmund, Germany 2010/11/07. technische universität dortmund

Verilog-A Debug Tool: AHDL Linter

Hardware Design and Simulation for Verification

Research Article On Mixed Abstraction, Languages, and Simulation Approach to Refinement with SystemC AMS

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

System-level design refinement using SystemC. Robert Dale Walstrom. A thesis submitted to the graduate faculty

HDL Cosimulation May 2007

A Formalization of Global Simulation Models for Continuous/Discrete Systems

A Heterogeneous Hardware-Software Co-Simulation Environment Using User Mode Linux and Clock Suppression

EEL 5722C Field-Programmable Gate Array Design

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

A SystemC HDL Cosimulation Framework

Gerhard Noessing, Villach

ASIC world. Start Specification Design Verification Layout Validation Finish

Mixed-signal Modeling Using Simulink based-c

Optimizing Models of an FPGA Embedded System. Adam Donlin Xilinx Research Labs September 2004

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

A System-Level Co-Verification Environment for ATM Hardware Design

Making the Most of your MATLAB Models to Improve Verification

What's new in MATLAB and Simulink for Model-Based Design

Analog Verification Concepts: Industrial Deployment Case Studies

Index. A a (atto) 154 above event 120, 207 restrictions 178

SoC Design for the New Millennium Daniel D. Gajski

Modelling and Simulation Made Easy with Simulink Tiffany Liang Application Engineer MathWorks

Simulation and Exploration of LAURA Processor Architectures with SystemC

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

SystemC Modules and Hierarchy. Rolf Drechsler Daniel Große University of Bremen

System level modelling with open source tools

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

Comprehensive design and verification with the industry s leading simulators

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

Virtuoso Characterization

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM

Key technologies for many core architectures

Elements of a SystemC Design Platform

A SIMULATION BASED METHODOLOGY FOR THE DEVELOPMENT OF EMBEDDED-ANALOGUE-MIXED-SIGNAL SYSTEMS USING SYSTEMC-AMS. Benjamin Mulwa Kathale I56/72438/2008

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 18. Introduction to Verilog-A/Verilog-AMS

Abstraction Layers for Hardware Design

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund

FlexRay TM Conformance Testing using OVM

New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation

Cosimulation II. Cosimulation Approaches

Cosimulation II. How to cosimulate?

MetaRTL: Raising the Abstraction Level of RTL Design

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

OCCN: A Network-On-Chip Modeling and Simulation Framework. M.Coppola, S.Curaba, M.Grammatikakis, R.Locatelli, G.Maruccia, F.Papariello, L.

ECEN 468 Advanced Logic Design Department of Electrical and Computer Engineering Texas A&M University. Lab 1

Design and Verification of FPGA Applications

Testing Operating Systems with RT-Tester

Preview. Process Control. What is process? Process identifier The fork() System Call File Sharing Race Condition. COSC350 System Software, Fall

RTL design in python:

HDL Cosimulation August 2005

Application of Multi-domain and Multi-language Cosimulation to an Optical MEM Switch Design

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Discrete Event Models

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON

Flexible and Executable Hardware/Software Interface Modeling For Multiprocessor SoC Design Using SystemC

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

VLSI Design. Assignment. KU Sommersemester 2006 Analysis of Stream Ciphers. Stream cipher implementation VLSI VLSI PRNG PRNG. Key = K.

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Ngspice: Recent progresses and future plans

Simulation-Based FlexRay TM Conformance Testing using OVM. Mark Litterick Senior Consultant and Co-Founder, Verilab

HDL-Based Design. Eduardo Sanchez EPFL. Introduction

Incisive Enterprise Verifier

Intro to System Generator. Objectives. After completing this module, you will be able to:

Transcription:

Analog Behavior Refinement in System Centric Modeling Yaseen Zaidi, Christoph Grimm and Jan Haase Institute of Computer Technology Vienna University of Technology

The Motivation System level analog modeling possible with SystemC AMS: Executable specification AMS semantics Models of computations e.g. Timed Data Flow (TDF) Synchronization of MoCs of different domains Constant stepping fast simulation But need hooks for immediate refinement to utilize: Granularity supported by HDLs and HDLs/AMS Dedicated analogsolvers Fine/variable stepping Synchronization Yaseen Zaidi Institut für Computertechnik / TU Wien 2/11

Abstract modeling SCA_TDF_MODULE(prefi_ac) { sca_tdf_in<double> in; sca_tdf_out<double> out; sca_sctdf_in<bool> xgain; // parameters double prefi_fc; //cut off freq double prefi_g0; //gain!xgain double prefi_g1; //gain xgain // filter model sca_ltf_nd ltf_1; //filter inst sca_vector<double> A, B; //coeffs sca_vector<double> S; //states void init() { //filter coeffs B(0) = 1.0; A(0) = 1.0; A(1) = 1.0/(2.0*M_PI*prefi_fc);} void processing() { double tmp=ltf_1(b,a,s,in.read()); if (xgain.read()) ()) out.write(tmp * prefi_g1); else out.write(tmp * prefi_g0);} SCA_ CTOR(prefi _ ac) { // defaults prefi_fc = 1.0e6; prefi_g0 = 2.74; prefi_g1 = 2.74 * 2.2;} }; Yaseen Zaidi Institut für Computertechnik / TU Wien 3/11

System AMS can access and synchronize external simulators view classes solver classes TDF models TDF solver linear networks linear DAE solver linear DAEs other means other simulators DE modelling SC_METHOD SC_THREAD sc_signal< > synchronization Synchronization using TDF solver Discrete event simulator kernel Yaseen Zaidi Institut für Computertechnik / TU Wien 4/11

The Methodology Client Server SystemC kernel Synchronization Layer Solver Layer MATLAB, HDL, HDL- AMS, Verilog A, SPICE, other solvers AMS Extensions Executable Specification Digital MoCs TDF MoCs { ports, attributes(), read(), sig_proc(), write(), comm(), cosim wrapper } Software MoCs S o c k e t TCP/IP S o c k e t IUS, FastSPICE (UltraSIM) and Spectre solvers TCL I/f SPICE HDL-AMS HDL HDL TB IUS I/f HDL2C & C2HDL SHARED LIBRARY P L I Server program OS IPC C_Wrapper() read() load sim script fork() exec() call Cadence simulator write() Yaseen Zaidi Institut für Computertechnik / TU Wien 5/11

Execution of Cadence suite

Simulate various ADCs and DACs in HDLs/AMS Use VPI for Verilog and VHPI for VHDL model access Example system Yaseen Zaidi Institut für Computertechnik / TU Wien 7/11

Cosimulation instance in SystemC AMS specification SCA_TDF_MODULE(ad_converter) { sca_tdf::sca_in<double> in_tdf; sca_tdf::sca_out<sc_int<12> out_de;.. char *out_token_stream; token void processing() { token_collection = format_and_queue(in_tdf.read()); out_token_stream = cadence_cosim(token_collection);.. out_de.write<static_cast<sc_int<12> td tti t i t > format(out_token_stream);} ttk t )} } Yaseen Zaidi Institut für Computertechnik / TU Wien 8/11

Calling Cadence solver and C access of simulation child_pid_ncelab = vfork(); execv("ncelab", " amsfastspice", " propspath", "prop.cfg", "bench_a2d_12bit", " snapshot", "worklib.bench_a2d_12bit"); b ") child_pid_ncsim = vfork(); execv("ncsim", " input", "@tcl_script", " status", " analogcontrol", "acf.scs", "worklib.bench_a2d_12bit:behav", "+loadvhpi", "VHDL2C_DLL", "inst=:bench_a2d_12bit", "+start=0", "+stop=62"); Yaseen Zaidi Institut für Computertechnik / TU Wien 9/11

Conclusion SystemC AMS TDF semantics while suited for high level analog modeling can assist in cosimulation with refined models of HDLs AMS Synchronization layer allows connections of specialty simulators e.g. Spectre and FastSPICE A client (SystemC AMS) and server (Cadence) topology realized C/UNIX calls control of Cd Cadence simulation Access of simulation objects is made using standardized procedural interfaces (VPI/VHPI) Yaseen Zaidi Institut für Computertechnik / TU Wien 10/11

Thank you Yaseen Zaidi Institut für Computertechnik / TU Wien 11/11