DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission

Similar documents
An overview of standard cell based digital VLSI design

An Overview of Standard Cell Based Digital VLSI Design

Evolution of CAD Tools & Verilog HDL Definition

FABRICATION TECHNOLOGIES

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

TSBCD025 High Voltage 0.25 mm BCDMOS

MOSAID Semiconductor

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Xilinx SSI Technology Concept to Silicon Development Overview

Technologies and Tools for µe design

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Synopsys Design Platform

VLSI Design Automation

DESIGN STRATEGIES & TOOLS UTILIZED

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

VLSI Design Automation. Maurizio Palesi

101-1 Under-Graduate Project Digital IC Design Flow

AMS DESIGN METHODOLOGY

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

VLSI Design Automation

More Course Information

CADENCE VERILOG SIMULATION GUIDE AND TUTORIAL

SoCtronics Corporate Overview Industry s 1st Design FoundryTM

Towards Automation of ASIC TSMC 0.18 m Standard Cell Library Development

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

The Microprocessor as a Microcosm:

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

An OpenSource Digital Circuit Design Flow

FPGA Based Digital Design Using Verilog HDL

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Digital Design Methodology

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

ASIC Physical Design Top-Level Chip Layout

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head.

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

Process Agnostic Library Migration Automation

EE 330 Laboratory Experiment Number 11

Design and Technology Trends

Spiral 2-8. Cell Layout

Guidelines for Verilog-A Compact Model Coding

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Overview of Digital Design Methodologies

Columbia Univerity Department of Electrical Engineering Fall, 2004

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Chapter 5: ASICs Vs. PLDs

Platform for System LSI Development

The SOCks Design Platform. Johannes Grad

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Software Codesign of Embedded Systems

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

Design Methodologies and Tools. Full-Custom Design

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

Adding SRAMs to Your Accelerator

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm

EDA: Electronic Design Automation

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

The IIT standard cell library Version 2.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Introduction to HW design flows

SRAM Memory Layout Design in 180nm Technology

COE 561 Digital System Design & Synthesis Introduction

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

ASIC world. Start Specification Design Verification Layout Validation Finish

Design Methodologies. Full-Custom Design

SYNTHESIS FOR ADVANCED NODES

On development of Chip to Control Laser Time for Cell-selective Arrhythmia Ablation of Heart

UNIVERSITY OF WATERLOO

BCD8sP Technology Overview

Concurrent, OA-based Mixed-signal Implementation

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

HOMEWORK 2 CMPEN 411 Due: 1/31/ :30pm

Beyond Moore. Beyond Programmable Logic.

Lecture 6. Tutorial on Cadence

A New Era of Silicon Prototyping in Computer Architecture Research

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

David Kebo Houngninou Instructional Assistant Professor Department of Computer Science and Engineering Texas A&M University *

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

EITF35: Introduction to Structured VLSI Design

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

ASYNC Rik van de Wiel COO Handshake Solutions

Transcription:

DIGITAL SANDBOX WORKSHOP Summer 2004 Sandbox CAD Support Digital Sandbox Mission The virtual SoC design support facility provides "industrial strength" hardware, software, EDA tools, workflows, and technical support staff to all three PDG member universities. This will enable Digital Sandbox student and faculty users to create real-world designs for modern semiconductor process technologies, and thus, enhance university-industry interaction and improve the quality of graduating engineers. Source: www.digitalgreenhouse.com 1

We had a productive year Sandbox Status Sandbox CAD support greatly expanded We obtained latest fabrication technology Our projects are increasing in scope and reaching other universities Our work supports more research projects and classes Sandbox CAD support Improvements over last year Fabrication Processes Available processes Sanbox Initiatives CMUlib18 SCMOS 0.18um CMUram Infrastructure Sandbox s impact Next year s goals Presentation Outline 2

Sandbox Support Defined Sandbox CAD support includes 1. Obtaining and installation 2. Integration with existing tools 3. Tutorials 4. Jump-start kits and sample scripts 5. Database of common issues 6. E-mail support Sandbox CAD Support, 1 st year Semi Custom VERILOG Full Custom Verilog Simulation ModelSim NC-Verilog Simulation Verification Specman Composer Schematic Synthesis Design Virtuoso Layout Prime Diva Calibre DRC, LVS Static Timing Analysis PrimeTime Spectre HSpice Analog Sim Place and Route Silicon Ens emble Dolphin z Library Design Cell Rater Abstract IC FABRICATION 3

2 nd year CAD goals Full-custom design flow To support undergraduate curriculum Functional Verification Add to Sandbox Design Experience course Estimation Add to Sandbox Design Experience course Sign-off tools To enable fabrication Standard cell library development For semi-custom <-> full-custom bridge Sandbox CAD Support, 2 nd year Semi Custom VERILOG Full Custom Verilog Simulation ModelSim NC-Verilog Simulation Verification Specman Composer Schematic Synthesis Design Virtuoso Layout Prime Diva Calibre DRC, LVS Static Timing Analysis PrimeTime Spectre HSpice Analog Sim Place and Route Silicon Ens emble Dolphin z Library Design Cell Rater Abstract IC FABRICATION 4

3 rd CAD year goals To enable multi-million gate SoC design Latest Place-and-Route tools, First Encounter To add support for Design-for-Manufacturing Technology CAD tools To add support for Design-for-Testing DFT tools from Cadence and Synopsys To add support for FPGA tools Synplicity software To improve Functional Verification flow Cadence software Sandbox CAD, 3 rd year projections Semi Custom Full Custom Verilog Simulation ModelSim NC-Verilog Simulation Verification Specman Incisive Composer Schematic Synthesis Design Virtuoso Layout Prime Diva Calibre DRC, LVS STA PrimeTime Spectre HSpice Analog Sim Place and Route Silicon Ens emble First Encounter Taurus Saber z TCAD Testing Encounter Test TetraMax FPGA Library Design Cell Rater Abstract Synthesis & P/R Synplify Xilinx ISE 5

Fabrication Process support, 1st year Standard Cell Libraries Process Design Kits Semi Custom design flow Full Custom design flow Faraday 0.13um IBM SiGe 0.18um ST Micro 0.18, 0.13um, 90nm TSM C 0.25, 0.18um Hewlett Packard 0.35um UM C 0.13um OKI 0.16um ST Micro 0.18, 0.13um, 90nm TSMC 0.13um, 90nm Hewlett Packard 0.35um Generic Cadence Generic Cadence CMUlib18 0.18um Scalable CM OS 0.18um Public, No restrictions Restricted to School Restricted to Project Fabrication Processes supported, 2nd year Standard Cell Libraries Process Design Kits Semi Custom design flow Full Custom design flow Faraday 0.13um IBM SiGe 0.18um ST Micro 0.18, 0.13um, 90nm TSM C 0.25, 0.18um Hewlett Packard 0.35um UM C 0.13um OKI 0.16um ST Micro 0.18, 0.13um, 90nm TSMC 0.13um, 90nm Hewlett Packard 0.35um Generic Cadence Generic Cadence CMUlib18 0.18um Scalable CM OS 0.18um Public, No restrictions Restricted to School Restricted to Project 6

Process and Libraries Highlights Nanometer processes available TSMC 0.13um, 90nm Completely open-source processes and cell libraries available SCMOS 0.18um and Generic Cadence First open-source manufacturable deep-submicron library CMUlib18 0.18um Developed in-house by the Digital Sandbox CMUlib18, Sandbox cell library No legal constraints No NDA s to sign Open for both research and education We intend to release it to other universities Open-source Complete layouts, analog sims Integration with custom and analog Easily extend the library with custom cells Approach complex mixed-signal SoC Fabrication with MOSIS 7

CMUlib18, Specification Process Scalable 0.18um CMOS, 6-metal deep submicron Fabrication with MOSIS and TSMC Cells 35 most commonly used cells Various strengths, plus cells with scan Design Flow Verified with Synopsys and Cadence tools Can be imported to Virtuoso for DRC/LVS and analog simulations AOI2_1x gate layout How good is CMUlib18 Not bad for a first try It s better than one commercial library, worse than the other Speed and Area can be improved Can optimize W/L ratios and optimize layout 8

SCMOS 0.18um process Originally from North Carolina State Adapted for CMU and enhanced for deep-submicron process Successfully used in undergraduate custom VLSI course The most modern deep submicron, 0.18um Students learn nanometer challenges Open-source Open for education and research Fabrication available through MOSIS CMUram, SRAM Memory Layout for 4K SRAM First open-source 0.18um SRAM compiler Based on GPDK compiler Generates SRAM of any size Layout Schematic Verilog LIB and LEF Complements CMUlib18 and SCMOS18 process To be released later this year 9

CADFAQ Website Knowledge base for Sandbox CAD tools Tutorials, Demos, Sample scripts, Common issues and solutions Website is growing, 150 articles to date Access restricted to PDG universities www.ece.cmu.edu/~sandbox/cadfaq Sandbox Infrastructure Sandbox Server Farm 8 Sun Fire 280R, dual-cpu with 4Mb RAM Major upgrade to Linux platform Most Synopsys and Cadence tools supported Experienced major speed-ups Intel 30 donated powerful Xeon servers to the ECE dept 10

Videoconferencing, the need Students acknowledge the need for video In the SDX, students and faculty were frustrated with remote connectivity Very hard to communicate, talking to a wall experience Virtually no interaction with audio alone Video would promote interactive It breaks psychological barriers Long-term investment for all three schools Video setup can be reused for other Sandbox, or other classes Video Conferencing, Options Inexpensive Pros:NetMeeting plus Webcam, cheap $100-500 webcams Cons: very poor quality, can t see students, and hard to interact Outsourcing CMU s IT group, $200 for 1 st hour, $50 for additional SDX course: 6 design reviews + 6 lectures = $2000 Pros: no hassle Cons: temporary solution, fixed locations (UC) Professional, in-house DV camcoder($1500), cameraman (we can do) Real-time codec server, price? 3-way video? Separate ISDN? Might need professional assistance with setup Pros: state-of-the-art, promote interaction, shared with other classes Cons: price, $5000 $20,000 11

z Modern tools Modern challenges Semi Custom Verilog Simulation Verification ModelSim Specman State-of-the-art CAD tools allow to stay in touch with modern semiconductor trends Synthesis INDUSTRY STANDARD Static Timing Analysis Place and Route Prime Silicon Ens emble Design PrimeTime First Encounter Challenges addressed: Code coverage Assertions P/R of millions of gates Signal integrity Library Design Cell Rater Abstract Research quality Semi Custom Full Custom ModelSim NC-Verilog Verilog Simulation Simulation Specman Incisive Verification Design Synthesis Prime PrimeTime STA Silicon First Ensemble Encounter Place and Route Encounter Test TetraMax Testing Cell Rater Abstract Library Design Standard Cell Libraries Semi Custom design flow Faraday 0.13um BREADTH Composer Virtuoso Diva Calibre Spectre HSpice Taurus Saber Synplify Synthesis & P/R DEPTH Schematic Layout DRC, LVS Analog Sim TCAD FPGA Xilinx ISE Process Design Kits Full Custom design flow IBM SiGe 0.18um Elaborate design flows improve design quality and credibility Examples: Sign-off quality timing Transient power Custom libraries ST Micro 0.18, 0.13um, 90nm TSMC 0.25, 0.18um Hewlett Packard 0.35um UMC 0.13um OKI 0.16um ST Micro 0.18, 0.13um, 90nm TSMC 0.13um, 90nm Hewlett Packard 0.35um Generic Cadence Generic Cadence CMUlib18 0.18um Scalable CMOS 0.18um 12

Open-source process and library CMUlib18 & SCMOS18 open new research opportunities CAD algorithms Leakage Design for Manufacturing Allow fabrication of complex multi-million gate designs in academia 10M vs. 10K transistors Layout with CMUlib18 Who is using Sandbox, Research Student and Advisor S. Rovner & Prof. Pileggi Y. Takegawa & Prof. Strojwas Z.Menegakis & Prof. Strojwas C.Hao & Prof. D. Marculescu J. Hu & Prof. R. Marculescu Prof. J.C. Hoe and Pueschel Prof. Mukherjee T. Zanon & Prof. Maly T. Theocharides & Prof. Narayanan A. Hermawan & Prof. R. Marculescu G. Venkataramani & Prof. Goldstein J. Park & Prof. Yue Research project Via-Patterned Gate Array Design for Yield Design for Manufacturing lib Low-power design Low-power, leakage Hardware DSP Automation Classwork and MEMS Design for Yield Neural Networks Interconnect & parasitics Asynchronous HW High-speed analog design Sandbox support Design Kit, Cadence Software installs and support Cell library development ASIC CAD support, ASIC, libs ASIC CAD support, libs Design Kits TCAD tools, memory layout OKI libs, ASIC CAD Cadence, Diva ASIC CAD, Encounter, libs Design kit and libs 13

Sandbox support for Education Past year Sandbox supported three courses Undergraduate custom VLSI course (18-322), CMU Graduate semi-custom VLSI courses, SDX, CMU/PSU/PIT Graduate custom VLSI course (18-525), CMU In 2004, updated undergraduate custom VLSI course Introduce semi-custom design in a junior-level course Next year, possible candidates include Undergraduate Functional Verification course, CMU Undergraduate Hardware design methodologies course, PIT Undergraduate Verification course, PITT/PSU Graduate testing course, CMU 14