Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Similar documents
One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

0.35um design verifications

Xilinx SSI Technology Concept to Silicon Development Overview

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

EE582 Physical Design Automation of VLSI Circuits and Systems

Comprehensive Place-and-Route Platform Olympus-SoC

Guardian NET Layout Netlist Extractor

idfm Flow: An ECO Implementation of Metal, Via Filling

Tutorial on Design For Manufacturability for Physical Design

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

UNIVERSITY OF WATERLOO

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

2. TOPOLOGICAL PATTERN ANALYSIS

Common Platform Ecosystem Enablement

Silicon Photonics Scalable Design Framework:

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

ASIC Physical Design Top-Level Chip Layout

ECE260B CSE241A Winter Tapeout. Website:

Expert Layout Editor. Technical Description

FABRICATION TECHNOLOGIES

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

CMOS Process Flow. Layout CAD Tools

Nanometer Era Design For Manufacturability

Programmable CMOS LVDS Transmitter/Receiver

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

Chapter 5: ASICs Vs. PLDs

CMP Model Application in RC and Timing Extraction Flow

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Concurrent, OA-based Mixed-signal Implementation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Cluster-based approach eases clock tree synthesis

An Overview of Standard Cell Based Digital VLSI Design

Laker Custom Layout Automation System

Laker 3 Custom Design Tools

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

DATASHEET VIRTUOSO LAYOUT SUITE GXL

Galaxy Custom Designer LE Custom Layout Editing

EE 330 Laboratory Experiment Number 11

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

Verifying the Multiplexer Layout

AMS DESIGN METHODOLOGY

Introduction. Summary. Why computer architecture? Technology trends Cost issues

More Course Information

Introduction to Layout design

Taming the Challenges of 20nm Custom/Analog Design

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Virtuoso Layout Editor

Process technology and introduction to physical

GDSII to OASIS Converter Performance and Analysis

Virtuoso Layout Suite XL

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

System Scaling Opportunities for Future IT Systems

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Cadence IC Design Manual

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

A tutorial for the CAD program LayoutEditor. by Jürgen Thies, Juspertor UG, Munich

Efficient Meshing in Sonnet

Low k 1 Logic Design using Gridded Design Rules

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

An Automated System for Checking Lithography Friendliness of Standard Cells

FedEx A Fast Bridging Fault Extractor

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

Automatic Cell Layout in the 7nm Era

CHAPTER 1 INTRODUCTION

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission

Design Methodologies and Tools. Full-Custom Design

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Design rule illustrations for the AMI C5N process can be found at:

A tutorial for the CAD program LayoutEditor. by Jürgen Thies, Juspertor UG, Munich

Lithography Simulation-Based Full-Chip Design Analyses

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

In-design DFM rule scoring and fixing method using ICV

DRC and LVS checks using Cadence Virtuoso Version 3.0

Case study of Mixed Signal Design Flow

Lab 2. Standard Cell layout.

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

ANALOG MICROELECTRONICS ( A)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

RMAP software for resistance verification of power nets and ESD protection structures

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

Digital Design Methodology (Revisited) Design Methodology: Big Picture

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

Transcription:

Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc.

Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for one transistor in 1971 holds a quarter million transistors now The feature size approaching silicon atomic size (the diameter is ~0.22nm) Complexity of design rules increase explosively Source: SemiconductorManufacturing@wikipedia 10 µm 3 µm 1.5 µm 1 µm 800 nm 600 nm 350 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 16 nm 11 nm 1971 1975 1982 1985 1989 1994 1995 1998 1999 2000 2002 2006 2008 2010 2011 ~ 2013 ~ 2015

Layout Structure is Complicated Deep hierarchy level how many? Different design modules Logic, memory, analog, Module boundary is not just a rectangle could be a rectilinear Modules are heavily overlapped each other

Layout Structure is Complicated Bigger and bigger routing block Which is flatten More and more library cells More and more metal layers Up to 12 metals or more Huge dummy metals Usually are very flatten

Data Amount Billions of transistors on a SOC chip Tape-out data for manufacture reaches terabyte magnitude in GDSII What if the data is flatten?

Explosion on Design Rules Number of design rules are exploded, because of The feature size approaching silicon atomic size Sub-wavelength process technology Operation count has increased to over 20k Total 6 hours CPU time for 1 second per operation

DRC Running Time Exploding Due to Layout data amount Complexity of design rules It is normal to take few weeks for DRC checking on a single CPU at 45nm or beyond Geometry # Rule # CPU time

Parallel Processing Parallel processing is the solution But how, and what are the issues then? How to partition data? What if a device is partitioned? What if an instance is partitioned, or hierarchy is broken? Any data is duplicated? How to balance jobs between processors? How to synchronize them? How to communicate between them? How to merge data back?

More Issues on Parallel Processing Memory Will the memory be overflowed? Disk Will the disk be overwhelmed? Network Will the network be jammed? Seamless Will the results be different from that on single CPU run? Overhead How much overhead for that? What is the scalability?

Is DRC a Local Issue? What is halo for dimension checking? E.g.: Distance between two CO on layer OD should be less than 60um What if the polygon based operations? E.g.: A metal must enclose at least two vias What if the net related checkings? E.g.: Check space only if they are not the same net net1 40nm if net1 net2 < 60um < 60um net2 OD CO

Rules, Operations and Layers Rules are more and more complicated More and more operations are needed to implement a rule checking More layers are involving for complicated rule checking, e.g.: More and more rule checking needs connectivity information, and More and more layers are involved in connectivity operations

Connectivity Operations and Layers 450 400 350 300 250 200 150 100 50 0 65nm 40nm 28nm Connectivity Operations Connectivity Layers

Is DRC just for Geometry Dimension Checking? Netlist extraction Device parameter calculation Antenna checking Electrical rule checking Net selection Overall, objects in DRC: Polygons, edges, points, layers, nets, devices, device parameters, geometry properties, text labels, error marks,

Is DRC just for Verification? Metal filling Layout modification Double via insertion, for example Geometry correction DFM optimization, such as OPC Mask generation

Accuracy and Capacity Snapping the intersection point to a grid Some edges are shifted The angle is not 90 degree any more off-grid False/Missing errors might happen Scaling up the design might limit the design size

Accuracy Example False errors might be created

DRC for Small/Block-level Designs Custom Design Platform Small designs These features are NOT critical P&R flow Block-level designs, no hierarchy These features are NOT critical These features are NOT necessary Rule deck is much simpler Performance Memory Usage Hierarchy Parallel Processing Device Extraction Device Parameter Connectivity Extraction

Evaluating a DRC tool Layout patterns To check error mark accuracy To check robustness To check feature coverage Full chip designs with completed rule decks To check accuracy with large designs To check accuracy with all advanced rules To check memory usage To check disk usage To check performance To check performance/accuracy on parallel processing

VELA Platform Chip Design VELA DRC LVS RCX CMP OPC Yield MDP RET Analysis, Verification, Correction & Optimization Manufacture

Celesda Technologies Proprietary geometry processing algorithms to achieve performance boost 2-4X faster than the leading competitor Preserve verification accuracy Proprietary Multi-Level Intercrossing Data Management for Parallel Processing Achieve linear scalability on multiple CPUs Less memory usage based on customer evaluation results

Plug-and-Run No impact on customers existing flow Support idrc for advanced technology nodes

Product Status Verified Technology nodes TSMC 180, 130, 110, 65/55, 45/40, 28nm SMIC 180, 130, 110, 65nm Jazz/Tower 180nm Supported Features DRC Antenna checking Density Metal Fill Netlist extraction Business Has taped out over 30 designs on few companies

Testing Data Case GDSII 1 cpu (s) 2 cpu (s) Vela 4 cpu (s) 6 cpu (s) V82 9.2GB 35,505 18,382 10,156 7,296 A SoC Design Data communication, 40nm technology 12 10 8 6 4 2 0 Speed Up 1 2 4 6 CPU

Thanks