Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Similar documents
Is Power State Table Golden?

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Verifying a low power design

Low-Power Verification Methodology using UPF Query functions and Bind checkers

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Next-generation Power Aware CDC Verification What have we learned?

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Evolution of UPF: Getting Better All the Time

ASIC world. Start Specification Design Verification Layout Validation Finish

Low Power Emulation for Power Intensive Designs

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Using UPF for Low Power Design and Verification

IOT is IOMSLPT for Verification Engineers

Test Scenarios and Coverage

New Challenges in Verification of Mixed-Signal IP and SoC Design

CS/ECE 5780/6780: Embedded System Design

Verification of Power Management Protocols through Abstract Functional Modeling

PA GLS: The Power Aware Gate-level Simulation

Comprehensive Place-and-Route Platform Olympus-SoC

High Quality, Low Cost Test

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

Low-Power Technology for Image-Processing LSIs

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Mixed Signal Verification Transistor to SoC

Leveraging Formal Verification Throughout the Entire Design Cycle

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification?

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

COVERAGE DRIVEN VERIFICATION OF I2C PROTOCOL USING SYSTEM VERILOG

RTL LEVEL POWER OPTIMIZATION OF ETHERNET MEDIA ACCESS CONTROLLER

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Low Power System-on-Chip Design Chapters 3-4

Shortest path to the lab. Real-world verification. Probes provide observability

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

System On Chip: Design & Modelling (SOC/DAM)

Lecture 15 Software Testing

Formal Verification: Not Just for Control Paths

Post processing techniques to accelerate assertion development Ajay Sharma

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation

Power Aware Architecture Design for Multicore SoCs

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Leakage Mitigation Techniques in Smartphone SoCs

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

Verification of Cache Coherency Formal Test Generation

Computer Science and Software Engineering University of Wisconsin - Platteville 9-Software Testing, Verification and Validation

Marrying Formal Methods With Simulation-Based Verification Function Verification Research at UCSB. Tim Cheng & Li-C. Wang UC-Santa Barbara

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

New Advancements in CPF 2.0 and the Path to Interoperability

Challenges with Power Aware Simulation and Verification Methodologies

Retention based low power DV challenges in DDR Systems

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Will Everything Start To Look Like An SoC?

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Practical Approaches to Formal Verification. Mike Bartley, TVS

Software Engineering (CSC 4350/6350) Rao Casturi

Coverage Metrics for Functional Validation of Hardware Designs

Compatible Qualification Metrics for Formal Property Checking

Software Engineering Fall 2015 (CSC 4350/6350) TR. 5:30 pm 7:15 pm. Rao Casturi 11/10/2015

The Verilog Hardware Description Language Testing the Design Overview

Definitions. Key Objectives

By Matthew Noonan, Project Manager, Resource Group s Embedded Systems & Solutions

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Modular SystemVerilog

Object Oriented Software Design - I

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Validation Strategies with pre-silicon platforms

Using UPF for Low Power Design and Verification

Graph-Based Verification in a UVM Environment

Software Engineering Fall 2014

Simplified UVM for FPGA Reliability UVM for Sufficient Elemental Analysis in DO-254 Flows by Shashi Bhutada, Mentor Graphics

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Testing3. State-based Testing

Power Format Comparison Report out

Comprehensive CDC Verification with Advanced Hierarchical Data Models

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

Incisive Enterprise Verifier

Class Modality. Modality Types. Modality Types. Class Scope Test Design Patterns

Transaction-Based Acceleration Strong Ammunition In Any Verification Arsenal

Qualification of Verification Environments Using Formal Techniques

Assertion Based Verification of AMBA-AHB Using System Verilog

Will Everything Start To Look Like An SoC?

Verification Planning with Questa Verification Management

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Transcription:

Dynamic Verification of Low Power Design Intent Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Introduction Customer driven project Verification of Subsystem which includes: Complex Multimedia IP Functional & Low Power Control Simplified Arch DUT : Subsystem Media IP A B Ctrl Reg FSM

Low Power Specifications Top level Power Domains SubSys: On / Off Ctrl: almost always ON (except when SubSys is OFF) IP + block A: when needed Block B: when needed Simplified Arch DUT : Subsystem Media IP A B Ctrl Reg FSM

Lowe Power Intent Complexity Hierarchical Low Power Design Other SoC switched domains 12 different power domains Voltage aware supplies: A maximum of 6 different possible voltages Including undetermined (X) and OFF state 6^12=2176 million possible states Exponential complexity increase with respect to number of power domains

Project Goals RTL Verification Is the functional behavior meets specifications? Low Power Verification Is the power intent conforms to specifications? Is the functional behavior still meets specification once low power aspects are considererd? Power structure should not break functional behavior Active blocks should work when selected blocks are OFF

Low Power Techniques Power Switch switch OFF unnecessary blocks Isolation cells between switchable domains Reduced Power / Multivoltage Designs Implies Level Shifters between power domains Shifters could be bidirectional DVFS: Dynamic Voltage and Frequency Scaling Reduce/Increase voltage and frequency depending on processing demands

Low Power Verification Behavioral Intent RTL Power Intent UPF / CPF description Power Domains Isolations / Level Shifters Power States Static Checks Are power domains well isolated? RTL UPF Power aware dynamic simulation Sim Vcd / fsdb Reports logs

Static Low Power Verification Static Checks Multi-voltage rules checking Consistency checks Architectural checks, power structure violations Functional Checks Isolation cells Power Switches

Low Power Control FSM Behavioral functional verification Goals: verify the correct behavior of the power control Is the power switch sequence meets specifications? Are there any violations due to the power switching? Is the design able of reaching all the intended power states? Methodologies Stimulus / tests to exercise the power scenarios Assertions to check Transition violations (Xs?) The expected final state

Power States Table (PST) Develop tests to cover: Supply_A Power domains, power supplies states Example: OFF 0V 0.5V 0.8V 1.1V Transitions from a power state to the next one 1 0.8 0.7 0.5 off off 0 0.5 0.8 1 Supply_B Valid states Transition path State violation Invalid states may be reached during transitions!

Use Cases & Block interactions/isolation Structural low power design: Each functional block has an associated power domain Use Cases: Register access while other domains are OFF Block A should work while Domian B is OFF (correct behaviour of isolation/retention strategies) test: Switch Domain B OFF and then perform functional checks on Block A. Simplified Arch DUT : Subsystem Media IP A B Ctrl Reg FSM

When are we done? Verification Plan: Use cases & functional coverage Power Control FSM coverage Could be functionally verified PST coverage database Have we covered all intended states and transitions? Design of coverage metrics: > 2x10^9 possible power states? Exahstive verification of all possible states and transitions is not feasible

Future needs / thoughts Careful consideration to the design of the PSTs Include all essential target states Could perform automated test generation to cover all targeted power states PST coverage on targeted states (vs. all states) Assertions crossing the RTL/UPF boundary Ways to deal with intermediate states: Could introduce bugs in functional behaviour

Conclusion Power Intent verification is as essential as functional verification of RTL UPF specifications could be used in dynamic test based functional verification Careful consideration made to the selection of power scenarios EDA use: Power static checks Power aware simulator

Room for improvement Assertions crossing the behavioral/power intent boundary Automatic identification of interesting cases out of > 2x10^9 power states

Thank you Questions?