PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Similar documents
Expert Layout Editor. Technical Description

Guardian NET Layout Netlist Extractor

Harmony-AMS Analog/Mixed-Signal Simulator

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

Hipex Full-Chip Parasitic Extraction

Detailed Presentation

Laker Custom Layout Automation System

Single Vendor Design Flow Solutions for Low Power Electronics

Laker 3 Custom Design Tools

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Technologies and Tools for µe design

UNIVERSITY OF WATERLOO

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

CMOS Design Lab Manual

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

AMS DESIGN METHODOLOGY

Virtuoso Layout Suite XL

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

SILVACO Management Console (SMAN)

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

ESD Protection Device Simulation and Design

AccuCore STA DSPF Backannotation Timing Verification Design Flow

DATASHEET VIRTUOSO LAYOUT SUITE GXL

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Process technology and introduction to physical

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

ASIC Physical Design Top-Level Chip Layout

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

Using Sonnet in a Cadence Virtuoso Design Flow

Cadence IC Design Manual

RC Extraction. of an Inverter Circuit

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

Design Methodologies and Tools. Full-Custom Design

TSBCD025 High Voltage 0.25 mm BCDMOS

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

OpenPDK Production Value and Benchmark Results

Silvaco Japan Leading Future EDA in Japan

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

CircuitsMulti-Projets

CMOS Process Flow. Layout CAD Tools

RMAP software for resistance verification of power nets and ESD protection structures

Microelectronica. Full-Custom Design with Cadence Tutorial

Guidelines for Verilog-A Compact Model Coding

Introduction to ICs and Transistor Fundamentals

Utmost III. Device Characterization and Modeling

Latch-Up. Parasitic Bipolar Transistors

Circuits Multi Projets

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation

Guide to the CSE 577 Lab and Cad tools

Chapter 5: ASICs Vs. PLDs

A comprehensive workflow and methodology for parasitic extraction

Logging in, starting a shell tool, and starting the Cadence Tool Suite

LTspice Getting Started Guide. Copyright 2007 Linear Technology. All rights reserved.

Virtuoso - Enabled EPDA framework AIM SUNY Process

Copyright 2008 Linear Technology. All rights reserved. Getting Started

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

Design rule illustrations for the AMI C5N process can be found at:

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications

EECS 627, Lab Assignment 3

Case study of Mixed Signal Design Flow

TQPED MMIC Design Training

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

ECE260B CSE241A Winter Tapeout. Website:

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Student Workbook. Mentor Graphics Corporation All rights reserved.

Tanner Analog Front End Flow. Student Workbook

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

Concurrent, OA-based Mixed-signal Implementation

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

PCB Design Tools User Guide

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

Galaxy Custom Designer LE Custom Layout Editing

BCD8sP Technology Overview

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

Getting Started with Cadence Draft #3. Dan Kelly The School of Electrical and Electronic Engineering The University of Adelaide December 2, 2005

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

Evolution of CAD Tools & Verilog HDL Definition

Transcription:

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf design flow? How do you build a good PDK? How to you measure quality of PDK? What is the FSA PDK Checklist? How do you support designers using a PDK? - 2 -

What is a PDK? A process design kit (PDK) is a collection of verified data files that are used by a set of custom IC design EDA tools to provide a complete analog/mixed-signal/rf design flow. These data files include schematic symbols, SPICE models, Parameterized Cells (PCELLS), DRC/LVS runsets, parasitic extraction runsets, and scripts that run by the EDA tools to automate the generation and verification of design data. - 3 -

Who are the People Who Build and Use PDKs? Foundries Manufacture Silicon Wafers Build PDKs for their customers Electronic Design Automation Vendors Make Software Tools Build PDKs for their customers Fabless Semiconductor Companies Make Integrated Circuits Use PDKs to Design ICs Integrated Device Manufactures (IDM) Manufacture and Design ICs, build and use PDKs ASIC Vendors Design ICs for System Designers, build and use PDKs System Designers Manufacture Cell Phones and other products - 4 -

Why Adopt PDK Design Methodology? Jump-starts designers with an instantly productive environment for new design projects DESIGN PRODUCTIVITY Ensure manufacturing success with pre-configured schematic symbols and layout technology files DESIGN QUALITY Tightly links EDA tools, IC designers, and foundry support for fast time-to-market TAT Reduces costly rework cycles PROFITABILITY Rapidly becoming industry standard for analog circuit design methodology - 5 -

PDKs Enable an Integrated Analog/Mixed-Signal/RF Design Tool Flow ß At top left is a bandgap circuit captured with Schematic Capture using symbols from the PDK ß Pcells are instantiated by Layout Editor with flight lines ß Cells are placed and wired to make final layout ß SPICE simulates extracted bandgap over temperature -6-

Front-end Analog/Mixed-Signal/RF Design Flow Front-End Solution EDA Tools: Schematic, Simulation Control, Multi-Level Circuit Simulation, Waveform Viewing Supported By: Symbol libraries, SPICE Models, Callbacks - 7 -

Back-end Analog/Mixed-Signal/RF Design Flow Back-End Solution EDA Tools: Layout Editor, DRC, LVS, Parasitic Extraction Supported by: Tech files, Rule decks, Parameterized Cells (Pcells) - 8 -

Complete PDK-Based Analog/Mixed-Signal/RF Design Flow - 9 -

Silvaco PDK-Based Analog/Mixed-Signal/RF Design Flow - 10 -

Gateway Schematic Editor and Analog/Mixed- Signal/RF Front-End Front-end to hierarchical design with cross-probing, marching waveforms, analysis options, and optimization. Mixes transistor and behavioral level (Verilog-A) schematics. - 11 -

SmartSpice Circuit Simulator with SmartView Transient noise simulation with voltage and noise waveforms at 2 different circuit nodes. SmartSpice-64 simulates full chip power and signal integrity of extracted clock trees SmartView produces annotated plots and graphs of measurements of time, voltage, current, and power for rise time, slope, vector calculator, and eye diagrams - 12 -

Expert Layout Editor Generates Parameterized Cells High capacity loads over 10 gigabytes in minutes with fast panning and zooming Fully customizable hotkeys, macros, toolbars, layers, colors, and stipples can be directly imported All-angle polygons for analog - 13 -

Guardian DRC/LPE/LVS Verification Intuitive graphical DRC error debugging in Expert Interactive hierarchical crossprobing of LVS discrepancy Net Tracing follows nets and devices between layout and schematic. - 14 -

HIPEX Full-Chip Parasitic Extractor Product Design Flow HIPEX-C provides detailed coupling capacitor netlists for accurate analyses of overlap, lateral, and fringe capacitances HIPEX-RC supports SPICE, DSPF formats - 15 -

How do you Build a Good PDK? Silvaco process design kit (PDK) development services jump-starts analog and mixed-signal design teams and the CAD teams who support them with all of the foundryspecific models, symbols, rule decks and parameterized cells (P-cells) required for rapid, sustainable success using the complete Silvaco custom IC design toolset Build it yourself using the following steps: Write a specification Collect the foundry documents Build a front-end kit Build a back-end kit Verify the kit Package the kit for distribution Support the kit as process technology or EDA tools change - 16 -

Foundry Design Documents for PDK Development Basic Level - Standard Foundry Documents HSPICE Model Layout Design Rules DRC/LVS technology file Compatibility Level - standard PDK Kit for: GDSII examples with layer map Schematic symbol standard Documentation from other PDKs FSA Checklist PDK Development Accelerators Script files from other PDKs DRC/LVS testcase suite - 17 -

PDK Front-end Deliverables Schematic Symbols for the Gateway Schematic Editor invokes parameterized cells in the Expert Layout design tool that are DRC and LVS correct These parameterized symbols and respective subcircuits are integrated and tested with the SPICE models to assure a standard convention for transistor level simulation P-cells are written in the LISA Scripting Language. SPICE Models SPICE model files verified with the SmartSpice Circuit Simulator at the foundry supplied process corners (temperature, voltage, process) Silvaco can optionally extract a set of models from a wafer or measured data to produce a complete measured vs. simulation for each device - 18 -

PDK Back-end Deliverables Technology Files layer files that correlate the legal GDSII layers for each of the process layers for layout and verification tools Display files to customize the layout and schematic tools for GDS layers, display colors and user-customizable hot keys Rule Decks contain the layout rules encoded into the format used by: Expert Layout Editor Guardian DRC /LVS/LPE tools HIPEX Full-chip Parasitic Extractor Parameterized Cells enable annotated device schematics to be automatically drawn in the Expert Layout Editor, DRC and LVS correct, using the LISA scripting language - 19 -

Silvaco PDK Development Services Process Flow Step Foundry Silvaco Deliver Foundry Data P Extract SPICE Models Deliver Front-End Kit (schematic symbols with SPICE models) P Deliver Back-end Kit (DRC, LVS, LPE, Pcells) P Design Review and Training optional P Validate PDK optional P Deliver Final PDK P optional Support Mutual Customers optional P - 20 -

Migrating Other Design Flows to Build Silvaco PDK Data Format of Design Tool Schematics Layout Editor Tech Files Layout Data DRC/LVS Decks SPICE models SPICE netlists, commands Silvaco Compatibility EDIF 2 0 0 Import in Gateway Expert reads Virtuoso files Expert reads/writes GDSII Guardian translates Calibre and Diva/Dracula/Assura SmartSpice reads HSPICE SmartSpice reads HSPICE - 21 -

How to you measure quality of PDK? Other Industries Have the Same Problems 1924 Federal Food and Drug Act prohibits untrue claims 1973 Required on all foods with added nutrients or claims 1989 New Rules 1993 Label Finalized 1995 18 months later appears on all food packages - 22 -

PDK Checklist Foundry Process Documents Foundry Process Documents Document Document Number & Title Section Revision Date Design Manual (Devices) Electrical Parameters Design Layout Rules Spice Model RF Parameters/Modeling Noise Model Matching Models ESD Guidelines DRC LVS Parasitic Extraction Layer Map - 23 -

PDK Checklist EDA Tool Support EDA Tools Supported and Verified for Use with this PDK Type Vendor and Tool Version Version Date Schematic Simulation Control Circuit Simulator (A) Circuit Simulator (B) Circuit Simulator (C) Circuit Simulator (D) Layout Editor DRC Checker LVS Checker Parasitic Extractor Analysis Tools - 24 -

PDK Checklist Devices in Device Table Device Types Supported by MS/RF Processes Device Type Examples of Devices of this Type MOS NMOS/PMOS LV/HV, LVth/HVth, thick oxide, or floating gate BJT NPN/PNP, LV/HV, lateral, vertical Diodes N+/PW, P+/NW, Zener Capacitors poly-poly, MIM, tunnel, hi-q Resistors n-diff, p-diff, n-well, poly0, poly1, polyn, fuse, and metal Inductors standard and differential Varactors MOS, junction, and hyperabrupt - 25 -

PDK Checklist Device Table - 26 -

PDK Quality Criteria Accuracy, Clarity, Integration Enable Accurate Time Domain Analysis Accurate Passive Characterization of RC Accurate Analog/RF Models High Frequency Noise Low Frequency Noise - Flicker Subckt Macro Models RLC Passives Pcell Integrated Design Flow Layout Editors and Pcells that can draw spiral Inductors Extraction and Signal Integrity Analysis - 27 -

Current Silvaco Foundry Partners - 28 -

Silvaco PDK Delivery Status Delivered 0.5u High Voltage 40V BCD/BiCMOS 0.6u Mixed Signal 60V CMOS 0.6u Mixed Signal 40V CMOS 0.6u Mixed Signal 12V CMOS 0.6u Mixed Signal CMOS 0.18u RF CMOS 1.25u Bipolar MOSIS SCMOS In Development 0.5u Mixed Signal CMOS 0.18u SiGe 1.5u 80V BCD 0.13u RF CMOS - 29 -

Summary: Silvaco PDK-Based Analog Design Flow Single-Vendor Front-to-Back Solution Schematic entry front-end with complete set of circuit and mixedmode simulators Layout back-end with DRC/LVS/LPE and parasitic extraction PDKs create integrated analog design tool flow Easy Migration Path for Legacy Designs Industry-standard EDA formats and translator support Foundries Support for Rapid Silvaco PDK Development PDK tightly links EDA tools, IC designers and foundries for optimal manufacturing success Time-to-market for analog in CMOS Affordable and Flexible Licensing Highest value, lowest risk, and best support - 30 -