Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Similar documents
RTL Coding General Concepts

Evolution of CAD Tools & Verilog HDL Definition

ELCT 501: Digital System Design

EE 4755 Digital Design Using Hardware Description Languages

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Overview of Digital Design Methodologies

EE 4755 Digital Design Using Hardware Description Languages

Overview of Digital Design with Verilog HDL 1

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Description Languages. Introduction to VHDL

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD.

101-1 Under-Graduate Project Digital IC Design Flow

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Programmable Logic Devices HDL-Based Design Flows CMPE 415

VERILOG 1: AN OVERVIEW

Design Methodologies and Tools. Full-Custom Design

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

DESIGN STRATEGIES & TOOLS UTILIZED

Introduction to VHDL. Module #5 Digilent Inc. Course

Digital Design Methodology

Digital Design Methodology (Revisited) Design Methodology: Big Picture

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

תכן חומרה בשפת VERILOG הפקולטה להנדסה

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

FPGA Based Digital Design Using Verilog HDL

ASIC world. Start Specification Design Verification Layout Validation Finish

From Concept to Silicon

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

EEL 4783: Hardware/Software Co-design with FPGAs

Introduction to Verilog HDL

Lecture 3 Introduction to VHDL

An overview of standard cell based digital VLSI design

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

CS 250 VLSI Design Lecture 11 Design Verification

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng.

Chapter 1 Overview of Digital Systems Design

An Overview of Standard Cell Based Digital VLSI Design

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 330 Laboratory Experiment Number 11

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

Lecture 3. HDL Basics. George Mason University

CHAPTER 1 INTRODUCTION

Functional Programming in Hardware Design

Digital System Design

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Hardware description languages

ICS 252 Introduction to Computer Design

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

EDA: Electronic Design Automation

ECE 459/559 Secure & Trustworthy Computer Hardware Design

AMS DESIGN METHODOLOGY

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

14:332:231 DIGITAL LOGIC DESIGN. Hardware Description Languages

1 Controlling complexity

EEL 5722C Field-Programmable Gate Array Design

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Chapter 5: ASICs Vs. PLDs

Hardware Description Languages: Verilog

Mixed Signal Verification Transistor to SoC

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EECS150 - Digital Design Lecture 8 - Hardware Description Languages

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Programmable Logic Devices II

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

ECE U530 Digital Hardware Synthesis. Programming Assignments

Concurrent, OA-based Mixed-signal Implementation

Lattice Semiconductor Design Floorplanning

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

VLSI Design Automation

System Synthesis of Digital Systems

Designing with VHDL and FPGA

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design of DMA Controller Using VHDL

COE 561 Digital System Design & Synthesis Introduction

Combinational hazards

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

ASIC, Customer-Owned Tooling, and Processor Design

Design Methodologies. Full-Custom Design

I 3 I 2. ! Language of logic design " Logic optimization, state, timing, CAD tools

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

THE DESIGNER S GUIDE TO VERILOG-AMS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Transcription:

Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu

Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs Sharif University of Technology 2

Design Methodologies Ad-hoc Structured Top-Down Bottom-Up Mixed Sharif University of Technology 3

Ad-hoc Design Small Scale Designs Come up with a block diagram Place chips on board Wire parts and components Hope or Pray it works Large Scale Designs Partition Design Develop Library Configure Design Test Partial Design Develop More Libraries Configure More Designs... Complete Design Sharif University of Technology 4

Why Structured Design? Over a million-transistor designs cannot be done easily Today s designs require better tools Today s designs require better planning Today s designs require better strategy How to manage Step-by-step design Use of Simulation Use of Synthesis Sharif University of Technology 5

Design Methodologies (cont.) Top-Down Refine Specification successively Decompose each component into small components Lowest-level primitive components Over-sold methodology - only works with plenty of experience Sharif University of Technology 6

Design Methodologies (cont.) Bottom-Up Build-up from primitive components Combined to form more complex components Risk wrong interpretation of specifications Sharif University of Technology 7

Design Methodologies (cont.) Mixed Mostly top-down, but also bits of bottom-up Reality: need to know both top level and bottom level constraints Sharif University of Technology 8

Overview of IC Design From Concept to Silicon Concept Algorithm Design Verification must be done at each phase Architecture Design Logic/Circuit Design Physical Design Tape-out Sharif University of Technology 9

Overview of IC Design (cont.) Concept Novel Idea or Product Concept Algorithm Design Proving Idea Behavior Analysis Algorithm Optimization & Transformations Sharif University of Technology 10

Overview of IC Design (cont.) Architecture Design Design of Hardware Components Optimization for Minimum Resource Logic/Circuit Design Design of Hardware Components Tradeoffs among Area/Delay/Power Further Improvements from logic-level to circuit level Sharif University of Technology 11

Overview of IC Design (cont.) Physical Design Target to a Foundry Process Layout according to Routing Layers RC Model for Transistors Initial Floorplan Estimate Die Size Estimate Routing Complexity Finial Floorplan Sharif University of Technology 12

Overview of IC Design (cont.) Tape-out Fabrication Period Gate Array Routing Layers and Contacts are required Full-Custom or Cell-Based All Masks must be designed A lot of test after manufacturing is needed before design is ready for market Sharif University of Technology 13

Overview of IC Design (cont.) Verification Validation of Design in each Phase Formal Simulation Equivalence Checking between two phases Physical Design Verification DRC: Design Rule Check ERC: Electrical Rule Check LVS: Layout vs. Schematic Sharif University of Technology 14

Hardware Description Languages (HDLs) Describe Hardware at different levels of abstraction Structural Netlist of modules (hierarchical) Textual replacement of Schematic Behavioral/Functional Describe what module does, not how Use Synthesis to generate Hardware Sharif University of Technology 15

HDLs Specifications Timing Concurrency Simulation Semantics Sharif University of Technology 16

Why Using HDLs? Very difficult to design directly on hardware Exploring different design options Easier Cheaper Lower time and cost than prototyping CAD support from concept to silicon Sharif University of Technology 17

Key Features of HDLs HDLs have high-level programming language constructs HDLs allow designers to describe their designs at different levels of abstraction HDLs allow designers to describe functionality as well as timing HDLs are concurrent languages in nature Sharif University of Technology 18

A Brief History of HDLs Sharif University of Technology 19

CDL Computer Design Language Developed in 1965 Simulator in 1975 Features: Some high-level statements, condition Simple logical and arithmetic operations Academic language (not industrial) Data-flow level (no hierarchy support) Sharif University of Technology 20

ISPS Instruction Set Processor Specification First Idea in 1971 ISPL in 1976 ISPS in 1981 Single level of abstraction Upper than data-flow Processor instruction set No hierarchy support Sharif University of Technology 21

AHPL A Hardware Programming Language Three versions: AHPL-I: 1970 AHPL-II: 1978 AHPL-III: 1979 Features: Data-flow and structural level Full EDA tool support Unfamiliar syntax Sharif University of Technology 22

VHDL VHSIC HDL: Very High Speed Integrated Circuit Hardware Description Language Initiated by DARPA (research center of DoD) in a workshop in 1981 DARPA documentation released in 1983 VHDL 7.2 released in 1985 ITAR restrictions were lifted from VHDL Sharif University of Technology 23

VHDL (cont.) IEEE Standard in 1987 IEEE Std-1076-1987 ANSI Standard in 1988 Added Support for RTL Design VITAL: VHDL Initiative Towards ASIC Library Revised version in 1993 IEEE Std-1076-1993 Final review added mixed-signal support to VHDL in 2001 -> VHDL-AMS IEEE Std-1076.1-2001 Sharif University of Technology 24

Verilog Verifying Logic Phil Moorby from Gateway Design Automation in 1984 to 1987 Absorbed by Cadence Verilog-XL simulator from GDA in 1986 Synopsis Synthesis Tool in 1988 In 1990 became open language OVI: Open Verilog International Sharif University of Technology 25

Verilog (cont.) IEEE Standard in 1995 IEEE Std-1364-1995 Last revision in 2001 IEEE Std-1364-2001 Ongoing work for adding Mixed-signal constructs: Verilog-AMS System-level constructs: SystemVerilog Sharif University of Technology 26

VHDL vs. Verilog All abstraction levels Designed for documentation ADA based constructs NO PLI (Programming Language Interface) All Abstraction Levels Designed for hardware design C and ADA based constructs Powerful PLI Sharif University of Technology 27

VHDL vs. Verilog (cont.) Complex Grammar Hard to learn for beginners Describe a system (everything) Lots of data types High-level data types Pointer Alias Easy Language Easy to learn for beginners Describe digital systems Few data types Hardware related types Wire register Sharif University of Technology 28

VHDL vs. Verilog (cont.) User-defined package and library Reuse code from package Full design parameterization More easier to handle large designs No user-defined packages Reuse using include Simple parameterization No language construct for design file handling Sharif University of Technology 29

VHDL vs. Verilog (cont.) Sharif University of Technology 30