DatasheetDirect.com. Visit to get your free datasheets. This datasheet has been downloaded by

Similar documents
AT94K Series Field Programmable System Level Integrated Circuit. Application Note. FPSLIC Baud Rate Generator

hex file. The example described in this application note is written for the AT94K using the FPSLIC Starter Kit. Creating a New Project

System Designer. Programmable SLI AT94K/AT94S Series. Features. Description

AT17 Series FPGA. Configuration Memory. Application Note. In-System Programming Circuits for AT17 Series Configurators with Atmel and Xilinx FPGAs

System Designer. Programmable SLI AT94K/AT94S Series. Features. Description

Programmable SLI AT40K AT40KAL AT94K. Application Note. Implementing a Single-coefficient Multiplier

FPGA Configuration EEPROM Memory. Application Note. Programming Atmel s EEPROMs: AT17LV020(A) vs. AT17LV002(A) Introduction.

TSC695. Application Note. Annulled Cycle Management on the TSC695. References

Rad Hard FPGA. AT40KEL-DK Design Kit Content. Description. Kit Content. Reference Material. Support

8-bit Microcontroller. Application Note. AVR031: Getting Started with ImageCraft C for AVR

FPGA Configurator Programming Kit (Enhanced) ATDH2200E. Features. Description

8051 Microcontrollers. Application Note. Migration from AT89C5131 & AT89C5131A-L to AT89C5131A-M

AT17(A) Series FPGA Configuration Memory. Application Note

8-bit RISC Microcontroller. Application Note. AVR 305: Half Duplex Compact Software UART

Section 1 ATAVRAUTOEK1 Getting Started

8-bit Microcontroller. Application Note. AVR030: Getting Started with C for AVR

MP3 Player Reference Design Based on AT89C51SND1 Microcontroller... User Guide

AVR32 UC3 Software Framework... User Manual

Application Note Microcontrollers. C Flash Drivers for T89C51RC/RB/IC2 and AT89C51RC/RB/IC2 for Keil Compilers

AT91 ARM Thumb Microcontrollers. Application Note. AT91M55800A Clock Switching Considerations using Advanced Power Management Controller.

Atmel FPGA Integrated Development System (IDS)

Atmel FPGA Integrated Development System (IDS)

AT89C5131 Starter Kit... Software User Guide

MARC4. Application Note. Hints and Tips for Hard- and Software Developments with MARC4 Microcontrollers

2-wire Serial EEPROM Smart Card Modules AT24C32SC AT24C64SC

Migration From AT89C51SND1C to AT83C51SDN1C. Application Note. MP3 Microcontrollers

CAN Microcontrollers. Application Note. Migrating from T89C51CC01 to AT89C51CC03. Feature Comparison

8-bit Microcontroller. Application Note. AVR033: Getting Started with the CodeVisionAVR C Compiler

AT17F Series. Application Note. Programming Circuits for AT17F Series Configurators with Xilinx FPGAs. 1. Introduction

Bluetooth General Information White Paper

AT89STK-09 Starter Kit for AT83C26... User Guide

1-megabit (64K x 16) 3-volt Only Flash Memory AT49LV1024 AT49LV1025

AT91 ARM Thumb Microcontrollers. Application Note. AT91 Host Flash Loader. 1. Package Contents. 2. Definition of Terms. 3.

Atmel-Synario CPLD/PLD Design Software ATDS1100PC ATDS1120PC ATDS1130PC ATDS1140PC. Features. Description

AT89ISP Programmer Cable Introduction AT89ISP Programmer Cable Parallel Port Settings Application Note AT89ISP Software AT89ISP Cable polarized

CAN, 80C51, AVR, Microcontroller. Application Note

8-bit Microcontroller. Application Note. AVR201: Using the AVR Hardware Multiplier

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024 AT49F1025

Two-wire Serial EEPROM Smart Card Modules 128K (16,384 x 8) 256 (32,768 x 8) AT24C128SC AT24C256SC. Features. Description VCC NC

Application Note. Microcontrollers. Using Keil FlashMon Emulator with AT89C51CC01/03 AT89C51CC01/ Summary. 2. Background overview

8-bit Microcontroller. Application Note. AVR320: Software SPI Master

8-bit Microcontroller. Application Note. AVR033: Getting Started with the CodeVisionAVR C Compiler

ATAVRAUTO User Guide

Parallel EEPROM Die Products. Die Products. Features. Description. Testing

DIP Top View A18 A16 A15 A12 A7 A6 A5 A4 A3 A2 A1 A0 I/O0 I/O1 I/O2 GND VCC A17 A14 A13 A8 A9 A11 A10 I/O7 I/O6 I/O5 I/O4 I/O3 VCC A18 A17

When is Data Susceptible to Corruption

design cycle involving simulation, synthesis

UART. Embedded RISC Microcontroller Core Peripheral

Preparations. Creating a New Project

Interfacing the internal serial EEPROM

ATAVRAUTO User Guide

OrCAD Support for Atmel PLDs. Application Note. OrCAD Support for Atmel PLDs. Overview

Two-wire Serial EEPROM Smart Card Modules 1K (128 x 8) 2K (256 x 8) 4K (512 x 8) 8K (1024 x 8) 16K (2048 x 8)

4-megabit (512K x 8) Single 2.7-volt Battery-Voltage Flash Memory AT49BV040 AT49LV040

Trusted Platform Module AT97SC3203S. SMBus Two-Wire Interface. Summary

2-wire Serial EEPROMs AT24C128 AT24C256

FPGA Configuration EEPROM Memory AT17C65A AT17LV65A AT17C128A AT17LV128A AT17C256A AT17LV256A

3-Wire Serial EEPROM AT93C46C

256 (32K x 8) High-speed Parallel EEPROM AT28HC256N. Features. Description. Pin Configurations

Interrupt Controlled UART

2-Wire Serial EEPROM AT24C01. Features. Description. Pin Configurations. 1K (128 x 8)

Battery-Voltage. 16K (2K x 8) Parallel EEPROMs AT28BV16. Features. Description. Pin Configurations

AT17A Series FPGA Configuration EEPROM Memory. Application Note. FPGAs. AT17A Series Conversions from Altera FPGA Serial Configuration Memories

1-megabit (64K x 16) 3-volt Only Flash Memory AT49BV1024A AT49LV1024A

CryptoRF EEPROM Memory 8 Kbits

SAM Boot Assistant (SAM-BA)... User Guide

80C51 MCUs T89C51AC2. Errata Sheet

SPI Serial EEPROMs AT25010 AT25020 AT SPI, 1K Serial E 2 PROM

AT40K FPGA IP Core AT40K-FFT. Features. Description

Secure Microcontrollers for Smart Cards. AT90SC Summary

AT89STK-10 Starter Kit... Hardware User Guide

64K (8K x 8) Parallel EEPROM with Page Write and Software Data Protection AT28C64B. Features. Description. Pin Configurations

2-wire Serial EEPROM AT24C21. 2-Wire, 1K Serial EEPROM. Features. Description. Not Recommended for New Designs. Pin Configurations.

AVR -based Bridge between Full-speed USB and Fast Serial Asynchronous Interfaces AT76C711

Product Description. Application Note. AVR360: XmodemCRC Receive Utility for the AVR. Features. Theory of Operation. Introduction

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs

2-wire Serial EEPROM AT24C01A AT24C02 AT24C04 AT24C08 AT24C16

AT89C51CC03 UART Bootloader

2-wire Serial EEPROM AT24C01A AT24C02 AT24C04 AT24C08 AT24C16

DIP Top View VCC A12 A14 A13 A6 A5 A4 A3 A2 A1 A0 A8 A9 A11 A10 I/O7 I/O6 I/O0 I/O1 I/O2 I/O5 I/O4 I/O3 GND. TSOP Top View Type 1 A11 A9 A8 A13 A14

P_D_OUT[31:0] SPI_INT SPI_MOSI_OUT SPI_MOSI_OEN

2-megabit (256K x 8) 3-volt Only Flash Memory AT29LV020

AVR501: Replacing ATtiny15 with ATtiny25. 8-bit Microcontrollers. Application Note PRELIMINARY. Features. 1 Introduction

8-bit Microcontroller. Application Note. AVR032: Linker Command Files for the IAR ICCA90 Compiler

512K (64K x 8) 5-volt Only Flash Memory AT29C512

8-bit RISC Microcontroller. Application Note. AVR151: Setup And Use of The SPI

256K (32K x 8) 5-volt Only Flash Memory AT29C256

AT89C51SND1 UART Bootloader

a Serial Peripheral Interace (SPI). Embedded RISC Microcontroller Core Peripheral

VCC CS SO WP GND HOLD SCK SI VCC HOLD CS SO NC NC SCK SI WP GND

EPROM. Application Note CMOS EPROM. Interfacing Atmel LV/BV EPROMs on a Mixed 3-Volt/5- Volt Data Bus

256K (32K x 8) 5-volt Only Flash Memory AT29C256

2-wire Serial EEPROM AT24C512. Preliminary. 2-Wire Serial EEPROM 512K (65,536 x 8) Features. Description. Pin Configurations.

3-wire Serial EEPROMs AT93C46 AT93C56 AT93C57 AT93C66

1-megabit 2.7-volt Only Serial DataFlash AT45DB011. AT45DB011 Preliminary 16- Megabit 2.7-volt Only Serial DataFlash

32-bit Embedded Core Peripheral. Cache Memory. and Bus Interface Unit

T89C5115 UART Bootloader

T89C51AC2 UART Bootloader

AT85DVK-07 Demonstration Firmware... User s Manual

32-megabit 2.7-volt Only Serial DataFlash AT45DB321. AT45DB321 Preliminary 16- Megabit 2.7-volt Only Serial DataFlash

Transcription:

DatasheetDirect.com Your dedicated source for free downloadable datasheets. Over one million datasheets Optimized search function Rapid quote option Free unlimited downloads Visit www.datasheetdirect.com to get your free datasheets. This datasheet has been downloaded by http://www.datasheetdirect.com/

Implementing FreeRAM inside the FPGA or AT94K Series FPSLIC Using VHDL with IP Core Generator Features Generating the RAM Component Using the IDS Macro Generator Checking the Design with Simulation Creating a New Library Using IP Core Generator Switchable for AT40K, AT40KAL and AT94K Introduction The purpose of this application note is to inform users of how to use VHDL with IP Core Generator to implement the FreeRAM inside the AT40K Field Programmable Gate Array (FPGA) for the AT94K Field Programmable System Level Integrated Circuit (FPSLIC). testram.vhd and testram_test_bench.vhd are required for this example. These files can be found under C:\SystemDesigner\examples\at94k\ATSTK94 Designs\Ram. Note: This particular example describes how to implement a 32 x 4 asynchronous dual-port RAM cell in your VHDL file using LeonardoSpectrum. Programmable SLI AT40K AT40KAL AT94K Application Note Rev. 1

Generate the RAM Component Using the IDS Macro Generator 1. Open Figaro IDS. 2. Launch the design setup by pressing the button. 3. Click on New Design. 4. Set up the design directory to: C:\SystemDesigner\examples\at94k\ATSTK94 Designs\Ram. 5. Set up the configuration to AT94K, the design name to testram and the tool flow to Exemplar, see Figure 1. 6. Click on the IP Core Generator button. 7. Select Memory from the bottom tab and RAM-Dual Port from the right hand tab. 8. Type 5 for Address Width and 4 for Width. (Address Width is 5 since 2^5 = 32) 9. Select Asynchronous for RAM Type, and type the macro name dramasync.steps 6, 7, and 8 are shown in Figure 2. Figure 1. New Design Window 10. If there is no user library, click on the Browse button to add a new library. By default, IDS creates user94k.lib, if this file was not created, refer to the Create a New Library section on page 9 for more details. 11. Now click on the Generate button. After finishing generating this RAM block, IDS brings up a dialog box, see Figure 3. 12. Click on OK to dismiss the dialog box, and click on CANCEL to dismiss IP Core Generator. 2 Implementing FreeRAM

Implementing FreeRAM Figure 2. AT94K IP Core Generator Window Follow the steps below to instantiate this RAM component in the testram.vhd file. The dramasync.vht file is located under: C:\SystemDesigner\examples\at94k\ATSTK94 Designs\Ram\user94k\dramasync. 1. Copy the contents of dramasync.vht and paste them onto testram.vhd as shown below. Paste the words in Italic, and type manually the words in bold. library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ENTITY testram IS PORT ( DATAIN : IN std_logic_vector(3 downto 0); DATAOUT : OUT std_logic_vector(3 downto 0); ADDRIN : IN std_logic_vector(4 downto 0); ADDOUT : OUT std_logic_vector(4 downto 0); WRITEN : IN std_logic; 3

); READN : IN std_logic END testram ; ARCHITECTURE behv OF testram IS Component dramasync port ( DOUT : out std_logic_vector(3 downto 0); AIN : in std_logic_vector(4 downto 0); AOUT : in std_logic_vector(4 downto 0); DIN : in std_logic_vector(3 downto 0); OEN : in std_logic; WEN : in std_logic ); end component; BEGIN U1 : dramasync PORT MAP( DOUT AIN AOUT DIN OEN WEN ); => DATAOUT, => ADDRIN, => ADDOUT, => DATAIN, => READN, => WRITEN END behv; 4 Implementing FreeRAM

Implementing FreeRAM Figure 3. AT94K IP Core Generator Statistics Window After modifying the testram.vhd file, the code needs to be synthesized to generate the edf file. 1. Click on the button on the IDS window, the LeonardoSpectrum window appears. 2. Browse to select testram.vhd file. 3. Change the technology to AT94K, then click on Run Flow. If an error appears, check the testram.vhd file again. Figure 4 is an example of an LeonardoSpectrum window with all the correct setup. 4. After generating the testram.edf file, close LeonardoSpectrum and return to the IDS main window. 5

Figure 4. Exemplar Logic LeonardoSpectrum Window The step below imports the testram.edf file into IDS. 1. Click on the Open button and select Design. 2. Change the Files of Type box to *.edf; IDS automatically selects the testram.edf file, see Figure 5. 3. Click on OK. The design browser appears. 4. Click on the Map button. The mapping browser appears. 5. Click on the Parts button to select the part. This example uses AT94K40-25DQC. 6. Click on the Compile button. 7. Click on the button. IDS will generate back-annotated vhdl and test bench files for simulation purposes. The vhdl files are located under: C:\SystemDesigner\examples\at94k\ATSTK94 Designs\Ram\figba 6 Implementing FreeRAM

Implementing FreeRAM Figure 5. Open a Design Window Check the Design with Simulation To check the design with simulation, copy: C:\SystemDesigner\examples\at94k\ATSTK94 Designs\Ram\testram_test_bench.vhd to C:\SystemDesigner\examples\at94k\ATSTK94 Designs\Ram\figba\testram_test_bench.vhd. 1. Open the ModelSim window. 2. Click on File > Change directory. 3. Browse to the C:\System Designer\examples\at94k\ATSTK94 Designs\Ram\figba\ directory and click on OPEN. 4. To setup the ModelSim library, on the window, type the following commands: vlib work vmap work./work 5. Click on Design > Compile 6. Select dramasync.vhd and click on Compile. 7. Select testram.vhd and click on Compile. 8. Select testram_test_bench.vhd and click on Compile. 9. Click on Done to dismiss the dialog. 10. Go to Design > Load New Design. 11. Select post_test_bench and click on Load, see Figure 6. 12. Go back to the ModelSim window and type the following command: Add wave r /* Run all 13. Let it run for 50 microseconds 14. Go to the wave window and click the button. 7

Figure 6. Load Design Window 15. A waveform similar to the one shown in Figure 7 appears. 16. Check the results. Note: This design has loaded values 0000 to 1111 to memory locations 00000 to 01111 respectively. Later it will read back from those memory locations. The signal READN is asserted for 100 ns and de-asserted for 100 ns, some undefined states in between the DATAOUT will be visible. 8 Implementing FreeRAM

Implementing FreeRAM Figure 7. Wave Window Create a New Library Follow the steps below to set up a new user library: 1. Click on Library on IDS main window. 2. Select Library Setup, see Figure 8. 3. Click on Add Before. 4. Select the directory, type the library name and then click on OK, see Figure 9 on page 10. 5. Click on OK to dismiss the Library Setup. 9

Figure 8. Library Setup Window Figure 9. Add Library Window 10 Implementing FreeRAM

Atmel Headquarters Corporate Headquarters 2325 Orchard Parkway San Jose, CA 95131 TEL (408) 441-0311 FAX (408) 487-2600 Europe Atmel SarL Route des Arsenaux 41 Casa Postale 80 CH-1705 Fribourg Switzerland TEL (41) 26-426-5555 FAX (41) 26-426-5500 Asia Atmel Asia, Ltd. Room 1219 Chinachem Golden Plaza 77 Mody Road Tsimhatsui East Kowloon Hong Kong TEL (852) 2721-9778 FAX (852) 2722-1369 Japan Atmel Japan K.K. 9F, Tonetsu Shinkawa Bldg. 1-24-8 Shinkawa Chuo-ku, Tokyo 104-0033 Japan TEL (81) 3-3523-3551 FAX (81) 3-3523-7581 Atmel Product Operations Atmel Colorado Springs 1150 E. Cheyenne Mtn. Blvd. Colorado Springs, CO 80906 TEL (719) 576-3300 FAX (719) 540-1759 Atmel Grenoble Avenue de Rochepleine BP 123 38521 Saint-Egreve Cedex, France TEL (33) 4-7658-3000 FAX (33) 4-7658-3480 Atmel Heilbronn Theresienstrasse 2 POB 3535 D-74025 Heilbronn, Germany TEL (49) 71 31 67 25 94 FAX (49) 71 31 67 24 23 Atmel Nantes La Chantrerie BP 70602 44306 Nantes Cedex 3, France TEL (33) 0 2 40 18 18 18 FAX (33) 0 2 40 18 19 60 Atmel Rousset Zone Industrielle 13106 Rousset Cedex, France TEL (33) 4-4253-6000 FAX (33) 4-4253-6001 Atmel Smart Card ICs Scottish Enterprise Technology Park East Kilbride, Scotland G75 0QR TEL (44) 1355-357-000 FAX (44) 1355-242-743 Atmel Programmable SLI Hotline (408) 436-4119 Atmel Programmable SLI e-mail fpga@atmel.com fpslic@atmel.com FAQ Available on web site Fax-on-Demand North America: 1-(800) 292-8635 International: 1-(408) 441-0732 e-mail literature@atmel.com Web Site http://www.atmel.com BBS 1-(408) 436-4309 Atmel Corporation 2001. Atmel Corporation makes no warranty for the use of its products, other than those expressly contained in the Company s standard warranty which is detailed in Atmel s Terms and Conditions located on the Company s web site. The Company assumes no responsibility for any errors which may appear in this document, reserves the right to change devices or specifications detailed herein at any time without notice, and does not make any commitment to update the information contained herein. No licenses to patents or other intellectual property of Atmel are granted by the Company in connection with the sale of Atmel products, expressly or by implication. Atmel s products are not authorized for use as critical components in life support devices or systems. Atmel is the registered trademark of Atmel. FPSLIC and FreeRAM are the trademarks of Atmel. LeonardoSpectrum is the trademark of Exemplar Logic, Inc. Other terms and product names may be the trademarks of others. Printed on recycled paper. /xm