INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

Similar documents
VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Lecture 4. VHDL Fundamentals. George Mason University

Design Progression With VHDL Helps Accelerate The Digital System Designs

Introduction to VHDL

The Optimization of a Design Using VHDL Concepts

Lecture 4. VHDL Fundamentals. Required reading. Example: NAND Gate. Design Entity. Example VHDL Code. Design Entity

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 9 & 10 : Combinational and Sequential Logic

EEL 4783: Hardware/Software Co-design with FPGAs

CDA 4253 FPGA System Design Introduction to VHDL. Hao Zheng Dept of Comp Sci & Eng USF

Tutorial on VHDL and Verilog Applications

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

VHDL for Complex Designs

Lecture 3 Introduction to VHDL

Introduction to VHDL #1

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

C-Based Hardware Design

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

EEL 4783: Hardware/Software Co-design with FPGAs

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

RTL Coding General Concepts

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

ECE U530 Digital Hardware Synthesis. Programming Assignments

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) -

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

ECOM 4311 Digital Systems Design

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

VHDL Essentials Simulation & Synthesis

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

CS232 VHDL Lecture. Types

Introduction to VHDL #3

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

VHDL: A Crash Course

VHDL Basics. Mehdi Modarressi. Department of Electrical and Computer Engineering, University of Tehran. ECE381(CAD), Lecture 4:

Hardware Synthesis. References

Lecture 1: VHDL Quick Start. Digital Systems Design. Fall 10, Dec 17 Lecture 1 1

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

Introduction to Verilog HDL

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Lattice VHDL Training

FPGAs: FAST TRACK TO DSP

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library :

VHDL And Synthesis Review

A Brief Introduction to Verilog Hardware Definition Language (HDL)

Essential VHDL for ASICs

BASIC VHDL LANGUAGE ELEMENTS AND SEMANTICS. Lecture 7 & 8 Dr. Tayab Din Memon

ELCT 501: Digital System Design

VHDL for Synthesis. Course Description. Course Duration. Goals

TSIU03, SYSTEM DESIGN LECTURE 2

Lecture 12 VHDL Synthesis

Introduction to VHDL. Prof. Vanderlei Bonato - 10/10/16 1

Introduction to VHDL. Main language concepts

Introduction to Verilog HDL. Verilog 1

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University

PART I BASIC DIGITAL CIRCUITS

TKT-1212 Digitaalijärjestelmien toteutus. Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008

Verilog HDL is one of the two most common Hardware Description Languages (HDL) used by integrated circuit (IC) designers. The other one is VHDL.

Lab # 5. Subprograms. Introduction

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\

Lecture 4. VHDL Basics. Simple Testbenches

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University

Constructing VHDL Models with CSA

Lecture 7. Summary of two-level combinational-logic. Ways of specifying circuits. Solving combinational design problems. Verilog versus VHDL

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

VHDL is a hardware description language. The code describes the behavior or structure of an electronic circuit.

What Is VHDL? VHSIC (Very High Speed Integrated Circuit) Hardware Description Language IEEE 1076 standard (1987, 1993)

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Verilog for Combinational Circuits

VHDL for FPGA Design. by : Mohamed Samy

CPE/EE 422/522. Chapter 8 - Additional Topics in VHDL. Dr. Rhonda Kay Gaede UAH. 8.1 Attributes - Signal Attributes that return a value

Design Entry: Schematic Capture and VHDL ENG241: Digital Design Week #4

101-1 Under-Graduate Project Digital IC Design Flow

MLR Institute of Technology

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

VHDL Sample Slides Rev Sample Slides from the 2-day and 4-day VHDL Training Courses

Contents. Appendix D VHDL Summary Page 1 of 23

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

Very High Speed Integrated Circuit Har dware Description Language

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles

Verilog. Reminder: Lab #1 due tonight! Fall 2008 Lecture 3

Elementos de Lógica Digital II

VHDL for Logic Synthesis

VHDL HIERARCHICAL MODELING

תכן חומרה בשפת VERILOG הפקולטה להנדסה

Transcription:

INTRODUCTION TO VHDL Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

VHDL Resources Other Sources manufacturers web pages http://www.xilinx.com http://www.altera.com http://www.vantis.com/ http://www.actel.com plus LOTS of others IP www.opencores.org http://www.fpgacpu.org/ Discussion groups http://groups.google.com/group/comp.lang.vhdl/topics VHDL International http://www.vhdl.org/

Introduction to VHDL General introduction to basic language structure of VHDL Entities and Architecture Using VHDL to create a HDL program

What is VHDL? VHDL documentation contains behavioral and structural descriptions of an electronic system, subsystem, or device. The primary purpose of these data items is to document hardware designs in a machine processable, simulatable, and hierarchical format. Purpose Specifying, modeling, designing, and simulation digital systems Advantage Test Bench flexibility Standardization of VHDL urged to create synthesizer tools that reduces design time

Why VHDL? Simple PLDs of fewer than 500 gates are implemented by using Karnaugh map equations But Karnaugh Map (KM) equations are not suitable for larger circuits Schematic capture offers several advantages over KM approach But again this technique has many disadvantages as well Control logic must still be implemented using traditional Needs a design methodology that increases the efficiency of designers

Disadvantages of Schematic Control logic must still be generated using traditional design techniques Schematics can be difficult to maintain because the intent of the design is often clouded by its implementation Schematic capture environments are proprietary, so a designer who works in a schematic capture environment for one project may not be able to reuse material when working one a new project that requires the use of a new schematic capture environment The simulation environment supported by the PLD schematic capture tool may not fit with the system design environment, making design verification difficult at best.

Why VHDL? VHDL is the language that fulfils the requirement like: a standard medium for interchanging digital design information including a consistent method of providing communications during the procurement cycle. a standard pathway for re-implementing electronics parts a standard approach to determining which commercial off-the-shelf parts to use a portable, reusable pool of hardware description language synthesis and simulation models for digital systems a replacement pathway for obsolete components.

VHDL strengths and limitations Strengths: Power and Flexibility supports design libraries and the creation of reusable components. It can be used for design and simulation Device-Independent Design doesn t matter about device architecture and allows multiple styles of design Portability simulation before synthesize saves time Benchmarking Capabilities can be used with different device architectures and synthesize tools to evaluate results and choose the device that is the best ASIC Migration VHDL facilitates the development of AISC after Complex PLD (CPLD) or FPGA testing Quick Time-to-Market and Low Cost Saves time and earn more revenue

VHDL strengths and limitations Limitations: verbose representation does not always produce optimal (or even synthesizable) implementation inefficient code can result in unneeded, repetitive, or suboptimal logic.

VHDL Brief History /Standards VHDL stands for Very High-Speed Integrated Circuits (VHSIC) Hardware Description Language Initially, VHSIC chips were developed for Department of Defence (DoD) USA Description was restricted to gate level design tools Large scale design description was needed Three companies IBM, Texas Instruments, and Intermetrics worked together for standardization These companies worked together to bring a standard called version 7.2, in 1985

History of VHDL IEEE 1076 VHDL first publicly available in 1985 adopted by IEEE in 1987 as IEEE1076-1987 enhanced version released as IEEE 1076-1993 IEEE 1164 Improved portability defines a standard package defining std_logic handles signal strengths, unknowns and high-z

VHDL Standards - Extensions IEEE 1076.3 Numeric or Synthesis Standard defines VHDL data types as they relate to actual hardware Packages defined are: NUMERIC_BIT and NUMERIC_STD NUMERIC_BIT bit type vectors NUMERIC_STD vectors with elements that are type std_logic Defines two arithmetic data types, unsigned and signed, along with arithmetic, shift, type conversation, and logical operators Functions in the packages perform arithmetic operations on unsigned and signed types, and return these types. Replaces many non-standard vendor packages

VHDL Structural Elements Main units in VHDL: Entity Architecture Configuration Package

VHDL Entities and Architecture Every VHDL design description has one Entity/Architecture pair. Entity describes circuit as it appears from outside Architecture describes function (contents) of entity can be numerous alternative arch s ENTITY alternative architecture #1 alternative architecture #2 etc..

VHDL Entities and Architecture Package Generic Entity Ports Architecture Architecture Architecture (structural) Concurrent statement Concurrent statement process Architecture Sequential statement

Entity Declaration Provides complete interface for circuit defines I/O for connection and verification syntax: entity identifier is port ( port_interface_list ); end identifier ; rst d[7:0] clk BLACK_BOX q[7:0] co

Example Entity declaration List of inputs and outputs -- eight bit comparator entity compare is port ( end compare; A, B : in bit_vector ( 7 downto 0 ); EQ : out bit ); Port types Entity name A[7:0] B[7:0] compare EQ

Basic VHDL: Ports Used to define inputs and outputs ports of an entity Each port defined by: name direction (mode) data type P O R T S ENTITY P O R T S

Port Modes Describes direction of data transfer Port in : data flows only into circuit Port out : data flows only out of circuit Port buffer : for internal feedback or driver NOT bi-directional Port inout : bi-directional signal, allows internal feedback In Out In In Buffer Inout

Architecture declaration Describes how circuit is implemented Describes the internal operation of a module Every entity must have at least one architecture Declared by the predefined word architecture followed by user selected name; this name follows the same name-selecting as for entity syntax: architecture identifier of entity_name is begin [statements]; end identifier ;

Example Architecture declaration Functional description --eight bit comparator architecture compare1 of compare is begin end compare1; EQ 1 when ( A = B ) else 0 ; Assignment operator Declaration name Entity name A[7:0] B[7:0] compare1 EQ

Example Entity/Architecture -- eight bit comparator entity compare is port ( A, B : in bit_vector ( 7 downto 0 ); EQ : out bit ); end compare; --eight bit comparator architecture compare1 of compare is begin EQ 1 when ( A = B ) else 0 ; end compare1;

VHDL Hardware Models VHDL models three important facets of digital hardware Behavior A behavioral architecture uses only process statement Structure Structural architecture uses only component instantiation statements Dataflow A dataflow architecture uses only concurrent signal assignment statements VHDL combines all three facets of hardware description into a cohesive language

Behavioural Description Models the system as to how the outputs behave with the inputs Described in terms of High Level Language Process statement specifies the behaviour of the circuit, when executed in sequence Sensitivity list identifies which signals will cause the process to execute

Dataflow Description Here architecture specifies how data flows through the system i.e. from signal to signal and input to output without the use of sequential statements It posses one or more concurrent signal assignments (i.e., when-else or withselect-when) rather then sequential statements inside the process

Structural Description Fig: AND-OR Logic Structural designs consists of VHDL netlists Structural designs are hierarchical

Component design entities Components are instantiated and connected together with signals To instantiate a component is to place it in a hierarchical design

END OF THE LECTURE 5 & 6 Thanks for your patience