Trends and Challenges

Similar documents
Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Synopsys Design Platform

Comprehensive design and verification with the industry s leading simulators

Utmost III. Device Characterization and Modeling

What s new in IC-CAP 2009 Update 1

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

Single Vendor Design Flow Solutions for Low Power Electronics

Compact Model Council

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

EE5780 Advanced VLSI CAD

What s new in IC-CAP 2009?

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu

Guidelines for Verilog-A Compact Model Coding

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

Comprehensive Place-and-Route Platform Olympus-SoC

Virtuoso Characterization

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

IC Testing and Development in Semiconductor Area

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

ECE260B CSE241A Winter Tapeout. Website:

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

FinFETs: Quo Vadis? Niraj K. Jha Dept. of Electrical Engineering Princeton University

Lesson 2: DC Bias Point Analysis

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

StarRC Parasitic Extraction

Verilog-A Standardization for Compact Modeling

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

A Unified Environment for Modeling Very Deep Submicron MOS Transistors inside Agilent s IC-CAP

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

The Future of High Performance Computing

Aurora. Device Characterization and Parameter Extraction System

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A

Low-Power Technology for Image-Processing LSIs

Power, Performance and Area Implementation Analysis.

Parag Choudhary Engineering Architect

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MOSFET Simulation Models

AMS DESIGN METHODOLOGY

CMP Model Application in RC and Timing Extraction Flow

Moore s s Law, 40 years and Counting

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

Parameterize behavioral models using WiCkeD Modeling

AccuCore STA DSPF Backannotation Timing Verification Design Flow

Electrical optimization and simulation of your PCB design

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

A Fast Estimation of SRAM Failure Rate Using Probability Collectives

Silicon Memories. Why store things in silicon? It s fast!!! Compatible with logic devices (mostly)

Xilinx SSI Technology Concept to Silicon Development Overview

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Deep Sub-Micron Cache Design

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

A Platform for Compact Model Sharing!

The Memory Hierarchy 1

Lecture 9. Introduction to Analog. Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University

Analog IC Simulation. Mentor Graphics 2006

TABLE OF CONTENTS III. Section 1. Executive Summary

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs

TSBCD025 High Voltage 0.25 mm BCDMOS

What is the difference between SIMPLIS and Spice?

ECE 486/586. Computer Architecture. Lecture # 2

Common Platform Ecosystem Enablement

technology Leadership

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

MRAM Developer Day 2018 MRAM Update

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

WHITE PAPER PARASITIC EXTRACTION FOR DEEP SUBMICRON AND ULTRA-DEEP SUBMICRON DESIGNS

FABRICATION TECHNOLOGIES

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cadence simulation technology for PCB design

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Copyright 2012, Elsevier Inc. All rights reserved.

Jae Wook Lee. SIC R&D Lab. LG Electronics

Cluster-based approach eases clock tree synthesis

ECE 6332 Design Review2: Register File Design Optimization with Virtual Prototyping Tool (ViPro)

Wie entsteht ein EMV-Modell für eine integrierte Schaltung?

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

More Course Information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

November 11, 2009 Chang Kim ( 김창식 )

Silicon Memories. Why store things in silicon? It s fast!!! Compatible with logic devices (mostly) The main goal is to be cheap

Stacked IC Analysis Modeling for Power Noise Impact

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Transcription:

Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins Reduced supply voltage ( ) vs. increased process variations ( ) Design Margin Supply voltage V DD Random Variation Global/local variation BTI-induced reliability RTN noise Static Noise Accurate device modeling & accurate circuit simulation is difficult but must 1 Designing & manufacturing for good PPA & yield is challenging (SRAM as an example)

Is Hitting A Wall Traditionally, designers have to use for large block & fullchip simulation & verification For advanced designs where accuracy is key, is hitting a wall due to its inaccurate & unpredictable results! Approximations in model calculation and matrix solving Low confidence (unconverged DC) & inaccurate/wrong simulation results Kirchoff s current law (KCL) usually broken No current traceability (missing info) & IDDQ check failure Complicated options & no easy use models Low confidence (unpredictable results) & wasted time on tuning/setup 2

Why Spice? A new class of simulator for emerging design challenges SPICE accuracy & compatibility, giga-scale capacity & speed Spice replaces in characterization, verification & signoff flows for accurate power/leakage/timing/noise Accuracy (error) Example: power/leakage may require 5% or less error (vs. SPICE) 15% Switch Level Event Driven Connectivity Functional 5% 1% SPICE Spice High Accurate Mode Accurate Timing Accurate Power Accurate Leakage Accurate Analog 1M 3 1B Capacity

TM Highlights world s first and only Spice! Scalable Parallelization Efficient Memory Management Big Data Architecture Pure SPICE engine - NO approximations Faster than Scalable to 32+ threads -scale capacity (>10 9 elements) Pure SPICE Engine Guaranteed accuracy NO options DC always converges Current traceability & more 4

Guaranteed Accuracy Pure SPICE engine NO approximations! Single matrix solving + full analytical device models Foundry validated accuracy and compatibility TSMC Model Certification Share engine with BSIMProPlus TM golden modeling tool used by all foundries FinFET (16/14/10nm) and FD-SOI (28nm) ready Macro Model MOSFET: BSIM3 BSIM4 BSIM6 PSP HiSIM2 BSIM-CMG BSIM-IMG MOS11 MOS9 EKV BJT/HBT: Gummel-Poon Mextram HICUM VBIC BSIMProPlus TM SPICE Modeling Platform TM Reliability: AgeMOS User-defined Model HV Device: HiSIM_HV Level 101 CDN-LDMOS Level 66 User-defined Models RF Models SOI/TFT: UTSOI BSIMSOI BSIM-CMG BSIM-IMG BSIMPD BTASOI RPI a-si RPI p-si 5

Faster Than SPICE @ Same Accuracy For memory circuits, delivers 3X speedup on average vs. with <1% accuracy level can simulate 1 billion+ memory circuits which no SPICE simulators can handle (can t load or out of memory) 1673s Accuracy: 0.8% Speedup: 2.53X Accuracy: 0.27% Speedup: 3.66X Accuracy: 0.68% Speedup: 2.76X 661s 612s 815s SRAM (816K elements) Delay time simulation 167s SRAM (245K elements) Setup time simulation 295s Peripheral (884K elements) Average current simulation 6

Faster & Much More Accurate can run faster than (not in fast mode or functional or switch-level connectivity verifications) Performance specially optimized for BSIM-CMG and UTSOI models Case study full chip leakage verification A full chip SRAM with 495M elements (483M parasitic R&C) requires options and tuning very unreliable & unpredictable accurate mode significantly slows down the performance @ 24 cores 35.5hrs 173GB 173GB -21mA -25mA 11.37hrs 15.3hrs (accurate) 69.1GB (default) (accurate) -6mA (accurate) (default) (default) Simulation time Memory consuption Leakage current 7

Drop-in Replacement. NO Learning Drop-in replacement of SPICE/ in existing design flows Full SPICE analysis features, and standard input/output formats Input Netlist (Hspice/Spectre) Spice Models Stimulus files (vec, vcd, ) Parasitic files (SPEF) IBIS, S-para, transmission line DC AC tran noise tran noise info sweep alter Monte Carlo PVT Output FSDB, PSFASCII, SPICEASCII, ASCII, Replacing in design flows Characterization Power/leakage verification Timing verification Functional verification 8

Application Spaces Analog (PLL, ADC, PMIC, I/O, ) Digital (Std. cell, small block) Memory Block (Bitcell, sens. amp, driver, small block) Replacing Traditional applications Verification & Signoff Accurate Power/Leakage /Timing/Noise Large Block or Full Chip Characterization Custom Digital (clock tree, etc) DRAM, SRAM, Flash IC Embedded Memory IP SOC Full Chip 9

Key Takeaways Directly replace or use as the golden reference in your existing verification & signoff flows Superior Accuracy & Speed Faster than with true SPICE accuracy and DC convergence. Parallelization scalable to 32+ threads. -scale Capacity Handles real giga-scale full chip verification & signoff (>10 9 elements) for DRAM, SRAM, Flash, Custom Digital No Options Drop-in replacement of in design flows. No tuning, removes guesswork from 16/14/10nm FinFET & 28nm FD-SOI Foundry validated accuracy & compatibility. Performance specially optimized for BSIM-CMG & UTSOI 10