(ii) Simplify and implement the following SOP function using NOR gates:

Similar documents
COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

R10. II B. Tech I Semester, Supplementary Examinations, May

Injntu.com Injntu.com Injntu.com R16

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni


SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE

Hours / 100 Marks Seat No.

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Code No: R Set No. 1

Code No: R Set No. 1

Code No: 07A3EC03 Set No. 1

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

END-TERM EXAMINATION

R07

APPENDIX A SHORT QUESTIONS AND ANSWERS

Code No: R Set No. 1

COPYRIGHTED MATERIAL INDEX

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES.

Scheme G. Sample Test Paper-I

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER.

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

Digital logic fundamentals. Question Bank. Unit I

Hours / 100 Marks Seat No.

DE Solution Set QP Code : 00904

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

DIGITAL ELECTRONICS. Vayu Education of India

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

10EC33: DIGITAL ELECTRONICS QUESTION BANK

Digital System Design with SystemVerilog

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

MLR Institute of Technology

Model EXAM Question Bank

Course Batch Semester Subject Code Subject Name. B.E-Marine Engineering B.E- ME-16 III UBEE307 Integrated Circuits

PROGRAMMABLE LOGIC DEVICES

: : (91-44) (Office) (91-44) (Residence)

Philadelphia University Student Name: Student Number:

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

QUESTION BANK FOR TEST

Written exam for IE1204/5 Digital Design Thursday 29/

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai

Scheme I. Sample Question Paper

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1


MULTIMEDIA COLLEGE JALAN GURNEY KIRI KUALA LUMPUR

Programmable Logic Devices

PINE TRAINING ACADEMY

CS/IT DIGITAL LOGIC DESIGN

SRM ARTS AND SCIENCE COLLEGE SRM NAGAR, KATTANKULATHUR

Lecture #1: Introduction

Switching Theory & Logic Design/Digital Logic Design Question Bank

EE178 Spring 2018 Lecture Module 1. Eric Crabill

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Discrete Mathematical Structures. Answer ONE question from each unit.

Question Total Possible Test Score Total 100

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

Topics. Midterm Finish Chapter 7

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

5. (a) What is secondary storage? How does it differ from a primary storage? (b) Explain the functions of (i) cache memory (ii) Register

ii) Do the following conversions: output is. (a) (101.10) 10 = (?) 2 i) Define X-NOR gate. (b) (10101) 2 = (?) Gray (2) /030832/31034

This tutorial gives a complete understanding on Computer Logical Organization starting from basic computer overview till its advanced architecture.

ELCT 501: Digital System Design

Final Exam Review. b) Using only algebra, prove or disprove the following:

IA Digital Electronics - Supervision I

Field Programmable Gate Array

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

COMBINATIONAL LOGIC CIRCUITS

Electronic Engineering Part 1 Laboratory Experiment. Digital Circuit Design 1 Combinational Logic. (3 hours)

ENEE 245 Lab 1 Report Rubrics

Reference Sheet for C112 Hardware

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL ELECTRONICS. P41l 3 HOURS

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system?

Digital Logic Design Exercises. Assignment 1

LOGIC CIRCUITS. Kirti P_Didital Design 1

1. Mark the correct statement(s)

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012

Computer Logical Organization Tutorial

CS8803: Advanced Digital Design for Embedded Hardware

IT T35 Digital system desigm y - ii /s - iii

Transcription:

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be realized using a NAND gate? (M-13) 2. Define Multiplexer (M-13) 3. What is meant by priority encoder? (M-12) 4. Distinguish between decoder and demultiplexer. (N-11) 5. State De-Morgan s theorem. (A-11) 6. Draw the truth table and logic circuit of a half adder. (A-10) 7. What is meant by decoder? (M-09) 8. What is meant by encoder? (M-10) 9. List out the applications of multiplexers. (M-09) 10. What is meant by demultiplexer? (M-08) 1. (i) Prove that.b + A. is an exclusive OR operation and it equals to (ii) Prove that for constructing XOR from NAND, four NAND gates are needed. (16) (M-13) 2. Simplify the given Boolean function, using K-map which has don t care conditions as. (16) (M-13) 3. (i) Implement the Boolean function, with NAND-NAND logic. (6) (M-12) (ii) Simplify and implement the following SOP function using NOR gates: (10) (M-12) 4. (i) Implement the function, using multiplexer. (8) (M-12) (ii) Implement full subtractor using demultiplexer. (8) (M-12) 5. Obtain the simplified expression for the logic equation given by,, where d represents don t care condition. Write the simplified expression using logic gates. (16) (N-11) 6. Write short notes on the following: a) De-Morgan s theorem b) Comparator c) Binary to gray code converter d) Multiplexer (16) (N-11) 7. Reduce the following expressions using Boolean algebra: (16) (M-11) a) + + + + xyz b) + c + + c) + q + + +

8. (i) Reduce the given expression using K-map, (6) (M-11) (ii) Implement a full adder circuit with the following: (10) (M-11) a) Decoder b) Multiplexer 9. Obtain the minimum SOP, using Quine Mcclusky s method and verify using K-map for the expression given by,. (16) (N-10) 10. (i) Simplify the expression given by, using K map. (8) (N-10) (ii) Design BCD to excess-3 code converter. (8) (N-10)

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT II COMBINATIONAL CIRCUITS PART A 1. Define Flip Flop (M-13) 2. Define Race Around Condition (N-12) 3. Compare combinational circuits with sequential circuits. (M-12) 4. What are the various classifications of sequential circuits? (N-11) 5. What is the operation of D flip-flop? (M-11) 6. What is meant by master-slave flip-flop? (M-10) 7. Write the excitation tables for a JK flip-flop. (N-09) 8. Compare synchronous counters with asynchronous counters. (M-10) 9. What is meant by edge-triggered flip-flop? (M-09) 10. What are the different types of flip-flop? 1. Design a synchronous sequential circuit using JK flip-flop for the state diagram given below. (16) (A/M-2010) 2. Design a BCD counter using T flip flop. (16) (AM/10) 3. Derive the state equation and draw the state diagram and the clocked sequential circuit for the state table given below. (16) (A/M-11) Present State Next State Output x=0 x=1 x=0 x=1 AB AB AB Y Y 00 00 01 0 0 01 11 01 0 0 10 10 00 0 0 11 10 11 0 0

4. Design a BCD counter using T flip flops, where flip flop inputs are TQ 1, TQ 2, TQ 4 and TQ 8. (16) (A/M-11) 5. Design a counter with the sequence 0, 1, 3, 7, 6, 4, 0. (16) (N/D-10) 6. Design the counter for the following sequences which is consisting of 3 JK flip flops: a) A1 0 0 0 0 1 1 0 b) A2 0 1 1 0 0 1 0 c) A3 0 1 0 1 1 0 0 (16) (N/D-10) 7. (i) Obtain a SR flip flop using NOR gates and explain its operation. (8) (M/J-12) (ii)convert a SR flip flop into JK flip-flop. (8) (M/J-12) 8. Obtain the following for a sequential circuit with 2 D flip flops, A and B and input, X and output, Y which is specified below with the state and the output equations: State equations: (i) A (t + 1) = AX + BX and (ii) B (t + 1) = A X Output equation: Y = (A + B) X a) Draw the logic diagram of the circuit b) Derive the state table c) Draw the state diagram (16) (MJ/2012) 9. Design a 3-bit binary counter using a T flip flop. (16) (M/J-13) 10. Draw the state transition diagram of a sequence detector circuit that detects 1010 from input data stream using Moore model and Mealy model. (16) (N/D-11) 11. Design a counter using a JK flip flop for realizing the following sequence. (16) (N/D-11) Q 2 Q 1 Q 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 0 1 0 0 0 0 0

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT III SYNCHRONOUS SEQUENTIAL CIRCUITS PART A 1. What is meant by race condition in asynchronous sequential circuit? (A-13) 2. What are called hazards? (N-12) 3. What are the assumptions made for fundamental mode circuit? (N-12) 4. Define Flow Table in an asynchronous sequential circuit (A-12) 5. What are the steps to be followed in the designing of a asynchronous sequential circuit? (N-11) 6. Define Static 0-Hazard, Static 1-Hazard and Dynamic Hazard (A-09) 7. What is meant by critical race? Why should it be avoided? (N-10) 8. What is meant by cycle? 9. How does an essential hazard occur? (A-12) 10. What are the significances of state assignment? 1. Consider the following asynchronous sequential circuit and draw the maps, transition table, and state table. (16) ((M-13) 2. Illustrate the procedure of an asynchronous sequential circuit with an example. (16) (M-13) 3. Design a pulse mode circuit with inputs x 1, x 2, x 3 and output z as shown in figure below by considering the following necessary conditions: a) the output should change from 0 to 1, only for the input sequence x 1 - x 2 - x 3 occurs, while z=0 b) the output z should remain in 1 until x 2 occurs c) use only SR flip flops for the design (16) (M-13)

4. (i) Explain the steps used for analyzing an asynchronous sequential circuit. (8) (M-13) (ii) Derive the flow table for the circuit given in the figure below. (8) (M-13) 5. (i) When does oscillation occur in an asynchronous sequential logic. (4) (N-11) (ii) Draw and explain the state transition diagram for modulo-6 counter in an asynchronous sequential logic. (12) (N-11) 6. (i) Write the condition for stabilty in an asynchronous sequential logic. (4) (N-11) (ii) Design an asynchronous sequential logic circuit for the state transition diagram shown in the figure below. (12) (N-11) 7. Design an asynchronous BCD counter. (16) (A-11) 8. Explain in detail, the steps involved in designing of an asynchronous sequential circuit with an example. (16) (A-11) 9. (i) Reduce the number of states in the following state table: (12) (A-11) Present State Next State Output X=0 X=1 X=0 X=1 a f b 0 0 b d c 0 0 c f e 0 0 d g a 1 0 e d c 0 0 f f b 1 1 g G h 0 1 h G a 1 0 (ii) Find the output sequence generated with input sequence 01110010011. (4) (A-11) 10. (i) List and explain the steps used for analysing an asynchronous sequential circuit. (8) (A-10) (ii) What are called critical and non-critical races? Describe ways to obtain race free conditions. (8) (A-10)

UNIT IV ASYNCHRONOUS SEQUENTIAL CIRCUITS AND PROGRAMMABLE LOGIC DEVICES PART-A 1. Explain ROM. (N/D-12) 2. What are the various types of ROM? (M/J-11) 3. What is meant by programmable logic array? How does it differ from ROM? (M/J-10) 4. What is meant by CPLD? (M/J-13) 5. Define Cache Memory (M/J-13) 6. Compare PROM with PLA. (N/D-09) 7. List out the classifications of a saturated bipolar logic families. (M/J-12) 8. Define Fan-out (N/D-13) 9. Define Noise Margin (M/J-12) 10. How are Schottky transistors formed? (N/D-12) 1. Explain in detail, the concept, working and the characteristics of TTL logic families. (8) (N/D-10) 2. What is meant by FPGA? Explain in detail, their operation and applications. (8) (N/D-10) 3. Explain in detail, the working of EPROM. Also list out their applications. (8) (A/M-11) 4. Explain in detail, the concept, operation and the characteristics of CMOS technology. (8) (A/M-11) 5. Explain in detail, the characteristics of various types of memories. (8) (A/M-11) 6. Design ROM for the function given by F 1 = (1, 2, 3); F 2 = (0, 2). (8) (A/M-11) 7. Explain in detail, the programmable logic devices. (8) (N/D-11) 8. Explain in detail, the characteristics of CMOS. (8) (N/D-11) 9. Explain in detail, the NOR gate using TTL logic with suitable diagrams. (8) (N/D-11) 10. A combinational logic circuit is defined by the functions given below. F 1 (a,b,c)= (0,1,6,7), F 2 (a,b,c)= (2,3,5,7) (16) 11. (i) Implement the circuit with a PAL having three inputs, three products terms and two outputs. (10) (M/J-12) (ii) Explain in detail, the concept and working of FPGA. (6) (M/J-12)

UNIT V VHDL PART A 1. What is meant by verilog? (N/D-12) 2. What is meant by switch-level modeling? (M/J-10) 3. What are the called value sets in verilog? (N/D-11) 4. List out the various classifications of timing control. (M/J-11) 5. What are the various types of conditional statements? (M/J-12) 6. What are the various types of ports in verilog? (N/D-13) 7. What are the various modeling used in verilog? (M/J-09) 8. What is meant by structural gate-level modeling? (M/J-11) 9. What are called gate primitives? (N/D-12) 10. What are the various types of procedural assignments? (M/J-13) 1. Explain in detail, the structural VHDL description for a 2 to 4 decoder. (16) (N-13) 2. Write a VHDL program and explain in detail, the design procedure of 8 bit comparator. (16) (N-13) 3. Explain in detail, the RTL design using VHDL with an example. (16) (M-12) 4. Write the VHDL code for mod 6 counter. (16) (M-12) 5. Explain in detail, procedure for register transfer language. (16) (N-11) 6. (i) Construct a VHDL module for a JK filp flop. (8) (N-11) (ii) Express the expression for arithmetic and logic operations using RTL. (8) (N-11) 7. (i) Explain the VHDL code that implements an 8:1 multiplexer. (10) (N-12) (ii) Explain the different data types that supported in VHDL. (6) (N-12) 8. Explain in detail, the uses of packages in VHDL. (8)((N-12) 9. Explain in detail, the HDL program for a full adder and a 4-bit comparator. (16) (M-10) 10. Explain in detail, the HDL code for 8:1 multiplexer using behavioral model. (16) (N-10)