EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Similar documents
Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

RTL Coding General Concepts

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Evolution of CAD Tools & Verilog HDL Definition

Overview of Digital Design with Verilog HDL 1

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

DESIGN STRATEGIES & TOOLS UTILIZED

Lecture 3 Introduction to VHDL

Chapter 5: ASICs Vs. PLDs

COE 561 Digital System Design & Synthesis Introduction

Hardware Synthesis. References

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Overview of Digital Design Methodologies

Design Methodologies. Kai Huang

Prog. Logic Devices Schematic-Based Design Flows CMPE 415. Designer could access symbols for logic gates and functions from a library.

CMSC 611: Advanced Computer Architecture

EE595. Part VII VHDL Synthesis Techniques and Recommendations. EE 595 EDA / ASIC Design Lab

CS232 VHDL Lecture. Types

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

The Optimization of a Design Using VHDL Concepts

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

ECE 459/559 Secure & Trustworthy Computer Hardware Design

101-1 Under-Graduate Project Digital IC Design Flow

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

Linking Layout to Logic Synthesis: A Unification-Based Approach

VHDL VITAL. Simulation Guide For Libero SoC v11.8

EEL 5722C Field-Programmable Gate Array Design

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory

ISE Design Suite Software Manuals and Help

Digital Design Methodology

LSN 1 Digital Design Flow for PLDs

VLSI Design Automation

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Introduction to VHDL. Module #5 Digilent Inc. Course

VHDL. Douglas L. Perry. Third Edition

VHDL for Complex Designs

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

C-Based Hardware Design

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

What is Xilinx Design Language?

Design Methodologies and Tools. Full-Custom Design

Early Models in Silicon with SystemC synthesis

Verilog HDL is one of the two most common Hardware Description Languages (HDL) used by integrated circuit (IC) designers. The other one is VHDL.

FPGA Based Digital Design Using Verilog HDL

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Don t expect to be able to write and debug your code during the lab session.

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

VHDL: RTL Synthesis Basics. 1 of 59

Spiral 2-8. Cell Layout

Lab 3 Verilog Simulation Mapping

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

ASIC, Customer-Owned Tooling, and Processor Design

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EEL 4783: Hardware/Software Co-design with FPGAs

Revision: August 30, Overview

Digital System Design

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

VLSI Design Automation

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware describing languages, high level tools and Synthesis

Verilog for Combinational Circuits

Programmable Logic Devices HDL-Based Design Flows CMPE 415

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

Choosing an Intellectual Property Core

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

EE 4755 Digital Design Using Hardware Description Languages

Lattice Semiconductor Design Floorplanning

Design of DMA Controller Using VHDL

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

ASIC Products Application Note

Chapter 9: Integration of Full ASIP and its FPGA Implementation

VHDL introduction Notes

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1)

LSN 6 Programmable Logic Devices

FPGAs: FAST TRACK TO DSP

VHDL simulation and synthesis

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

EE 4755 Digital Design Using Hardware Description Languages

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

FPGA Design Flow. - from HDL to physical implementation - Victor Andrei. Kirchhoff-Institut für Physik (KIP) Ruprecht-Karls-Universität Heidelberg

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

Part II: Laboratory Exercise

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

A Brief Introduction to Verilog Hardware Definition Language (HDL)

ECE U530 Digital Hardware Synthesis. Programming Assignments

An Introduction to Programmable Logic

High Speed SPI Slave Implementation in FPGA using Verilog HDL

Hardware Description Languages (HDLs) Verilog

Transcription:

EE595 Part VIII Overall Concept on VHDL

VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design concepts simply because the design entry method you have chosen is not supported in a newer generation of design tools. Takes advantage of the most up-to-date design tools, and will have access to a knowledge-based of thousands of other engineers, many of who are solving problems similar to your own ( Model availability). Tool interoperability Design Documentation

VHDL is Strongly Typed In VHDL information (objects) must be of a type. The type specifies the values the object may have. Users may also define their own data types and operators. Vendors provide extended data types for simulation and synthesis

VHDL is a Design Entry Language VHDL allows the behavior of complex electronic circuits to be captured into a design system for automatic circuit synthesis or for system simulation Like Pascal, C and C++, VHDL includes features useful for structured design techniques, and offers a rich set of control and data representation features. Unlike these other programming languages, VHDL provides features allowing concurrent events to be described. This is important because the hardware being described using VHDL is inherently concurrent in its operations

VHDL is a Simulation Modeling Language VHDL has many features appropriate for describing (to an excruciating level of detail) the behavior of electronic components ranging from simple logic gates to complete microprocessors and custom chips. VHDL allows electrical aspects of circuit behavior (such as rise and fall times of signals, delays through gates, and functional operation ) to be precisely described The resulting VHDL simulation models can then be used as building blocks in larger circuits (using schematics, block diagrams or systemlevel VHDL descriptions) for the purpose of simulation.

VHDL is a Test language An important (and under-utilized) aspects of VHDL is its ability to capture the performance specification for a circuit, in a form commonly referred to as a test bench. Test benches are VHDL descriptions of circuit stimulus and corresponding expected outputs that verify the behavior of a circuit over time. Test benches should be an integral part of any VHDL project, test benches are portable. Should be created in parallel with other descriptions of the circuit.

VHDL for Simulation Purely behavioral Architectural: bus widths, number of processors Off-the-shelf components Test benches VHDL Source Code Simulation Output entity processor is is...... end processor;

VHDL for Synthesis RTL description => Synthesis Compiler => Gates (LSI, TI) Simulate RTL description for functionality Simulate gates for timing and to check synthesis Target a synthesis tool from the start Logic model, used to simulate ASIC in any environment VHDL Source File entity processor is is... end processor; S y n t h e s i s

VHDL is a Netlist Language VHDL is a powerful language with which to enter new designs at a high level. Also useful as a low-level form of communication between different tools in a computer-based design environment. VHDL s structural language features allow it to be effectively used as a netlist language, replacing (or augmenting) other netlist languages such as EDIF.

VHDL for Gate-Level Simulation VITAL - Vital Initiative Towards ASIC Libraries SDF - Standard Delay Format for back annotation (Internal layout tools already have this) Gates take longer to simulate and more money Can simulate on non-vhdl platforms also such as in Mentor VHDL looks like other netlist formats

VHDL for Documentation /Translation Used to transfer designs between contractor and subcontractor Re-use of designs parts, utilities Many vendors take in and produce VHDL Quite readable

VHDL Model Component A complete VHDL component description requires a VHDL entity and a VHDL architecture The entity defines a component s interface The architecture defines a component s function Several alternative architectures may be developed for use with the same entity Three areas of description for a VHDL component: Structural descriptions Behavioral descriptions Timing and delay descriptions

VHDL Model Component (cont.) Fundamental unit for component behavior description is the process Processes may be explicitly or implicitly defined and are packaged in architectures Primary communication mechanism is the signal Process executions result in new values being assigned to signals which are then accessible to other processes Similarly, a signal may be accessed by a process in another architecture by connecting the signal to ports in the the entities associated with the two architectures Example signal assignment statement : Output Output <= <= My_id My_id + 10; 10; EE 595 EDA / ASIC Design

Packages and Libraries Used in VHDL Modeling VHDL is a hardware description language used to document an electronic system design. VHDL Consist of several parts organized as follows: The actual VHDL Language Some additional data type declarations in the Package STANDARD Some utility functions in the Package TEXTIO A Work Library reserved for personal designs A STD library containing Package STANDARD and TEXTIO A vendor package Vendor Libraries User libraries and packages

Designing in VHDL Front end entry/swap level Concept Architecture Algorithm (Behavioral) Reverse Synthesis Register Transfer Level Gate Level Description (netlist)

Real World Design Flow Model design using RTL - synthesis tool specific Simulate each hierarchical block Thoroughly test full RTL design for functionality using VHDL test bench(es) Write (instantiate the ASIC into another level of VHDL environment.) Test bench is portable to other vendors. Behavioral wrapper around the ASIC. Synthesize RTL description Simulate gate-level description in VHDL simulator or Gate-level (non-vhdl) simulator.

Gajski and Kuhn s Y Chart Behavioral Systems Algorithms Register Transfer Logic Transfer Functions Architectural Algorithmic Functional Block Logic Circuit Processor Structural Hardware Modules ALUs, Registers Gates, FFs Transistors Rectangles Cell, Module Plans Floor Plans Clusters Physical Partitions Physical/Geometry

The FPGA/AISC Design Process Design Entry Test Development Synthesis Functional Simulation Device Mapping Timing Simulation Device VHDL can be used for both design and test development.

ASIC Design Flow Functional Steps RTL Coding Behavioral Simulation Logic Synthesis Test Insertion / ATPG Netlist Simulation Floor Panning Place and Route In-Place Optimization

EDA Tool Spectrum S c h e m a t i c E n t r y V e r i l o g V H D L 2 3 1 Front End Tools Simulation Tools Functional VERIFICATION Synthesis Tools Optimization Tools Functional CONFIRMATION Pre-Layout Synthesis (Floorplanning) ASIC VENDOR AND TARGET TECHNOLOGY CHOICE Gate Level Description Layout Synthesis (Floorplanning) FPGA ASIC Design Kits PLD Place and Route ASIC, IC s Timing