VLSI Test Technology and Reliability (ET4076)

Similar documents
VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076)

UNIT IV CMOS TESTING

Fault Testing of CMOS Integrated Circuits Using Signature Analysis Method

CROWNE: Current Ratio Outliers With Neighbor Estimator

VLSI Test Technology and Reliability (ET4076)

Very Large Scale Integration (VLSI)

Metodologie di progetto HW Il test di circuiti digitali

CHAPTER 1 INTRODUCTION

Metodologie di progetto HW Il test di circuiti digitali

Testing Principle Verification Testing

Digital IO PAD Overview and Calibration Scheme

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Deep Sub-Micron Cache Design

INTERCONNECT TESTING WITH BOUNDARY SCAN

EE434 ASIC & Digital Systems Testing

VLSI Testing. Lecture Fall 2003

THE latest generation of microprocessors uses a combination

Lecture 2 VLSI Testing Process and Equipment

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Digital Integrated Circuits

CMOS Testing: Part 1. Outline

10. Interconnects in CMOS Technology

Improved Wafer-level Spatial Analysis for I DDQ Limit Setting

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

Binning of Devices with X-IDDQ

EE586 VLSI Design. Partha Pande School of EECS Washington State University

3. Implementing Logic in CMOS

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias

Latch-Up. Parasitic Bipolar Transistors

Lecture 28 IEEE JTAG Boundary Scan Standard

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

CS310 Embedded Computer Systems. Maeng

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

ECE 156B Fault Model and Fault Simulation

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD)

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

ELG4125: System Protection

Design Methodologies

CS 152 Computer Architecture and Engineering

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

Introduction to ICs and Transistor Fundamentals

Spiral 2-8. Cell Layout

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

MSc THESIS. Experimental Analysis Of Design-For-Testability Techniques In SRAMs

V PP IN V CC3 IN V CC5 IN EN0 EN1 MIC2561 V CC5_EN V CC3_EN

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Package level Interconnect Options

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

Physical Implementation

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1

RELIABILITY REPORT FOR. MAX485ExxA PLASTIC ENCAPSULATED DEVICES. November 19, 2002 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Digital Fundamentals. Integrated Circuit Technologies

CMPE 415 Programmable Logic Devices FPGA Technology I

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

MAXIM INTEGRATED PRODUCTS

Electromagnetic Compatibility ( EMC )

1 Overview. Standard Products Application Note 8-bit MSI & 16-bit Logic Products with Unused or Floating Logic Inputs

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Testing Digital Systems I

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Automated Assertion Checking in Static Timing with IBM ASICs

Drexel University Electrical and Computer Engineering Department ECE 200 Intelligent Systems Spring Lab 1. Pencilbox Logic Designer

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Introduction to SRAM. Jasur Hanbaba

Lecture 11: MOS Memory

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

IC Testing and Development in Semiconductor Area

8. Selectable I/O Standards in Arria GX Devices

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1

RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

VLSI Implementation of 8051 MCU with Decoupling Capacitor for IC-EMC

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

FPGA Power Management and Modeling Techniques

Additional Slides for Lecture 17. EE 271 Lecture 17

POWER ANALYSIS RESISTANT SRAM

Production Testing of ATLAS MDT Front-End Electronics.

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

MAXIM INTEGRATED PRODUCTS

AMchip architecture & design

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

MAXIM INTEGRATED PRODUCTS

Future Trends One Mann s Opinion

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

SYNTHESIS FOR ADVANCED NODES

Curve Tracing Systems

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5092 P-DIP-8-1

Transcription:

VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1

Learning aims Describe the concept of current testing IDDQ & its added value Describe the major faults and defects that can be detected by IDDQ Develop a vector for IDDQ State the major limitations of IDDQ and remedies VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 2

Contents Motivation IDDQ Test Concept Pros and cons Coverage Vector generation for IDDQ tests Instrumentation difficulties IDDQ testing effectiveness Limitations of IDDQ testing Delta IDDQ testing Built-in Current testing Summary VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 3

Motivation Early 1990 s Fabrication Line had 50 to 1000 defects per million (dpm) chips IBM wants to get 3.4 defects per million (dpm) chips (0 defects, 6 σ) Conventional way to reduce defects: Increasing test fault coverage Increasing burn-in coverage Increase Electrostatic Discharge Damage awareness New way to reduce defects: I DDQ Testing also useful for Failure Analysis VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 4

I DDQ Test Concept In a good circuit, IDDQ (Quiescent current) is negligible In a faulty circuit, IDDQ remain elevated after switching Measure I DDQ current through V ss bus to detect the fault Can also measured through the VDD bus ATE or current measurement device can perform measurements VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 5

I DDQ Test.. + and - I DDQ measure current: slow I DDQ was used functional test, delay test, memory test,.. Used to improve the IC reliability, reduce manufacturing cost by 50%, improve filed quality, cut burn-in failures Problems Feature size in the nano-era Increase in leakage VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 6

I DDQ Test coverage (1) IDDQ can detect many faults and defects: Stuck at faults Delay faults Weak faults Bridging faults CMOS Stuck-Open faults Leakage current Floating gate defects Gate Oxide Shorts etc VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 7

I DDQ Test coverage (2) Stuck at faults Defects causing n and pfet transistors in a gate to be on Bridging faults with stuck-at fault behavior Delay faults Most random CMOS defects cause a timing delay fault, not catastrophic failure Many delay faults detected by I DDQ test late switching of logic gates keeps I DDQ elevated Delay faults not detected by I DDQ test Resistive via fault in interconnect Increased transistor threshold voltage fault VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 8

I DDQ Test coverage (3) Weak faults nfet passes logic 1 as Vdd V tn pfet passes logic 0 as 0 V + V tp Weak fault: transistor not fully turn on, so the signal passed is degraded Increased propagation delay Increases noises CMOS Stuck-Open faults 100% detection not guaranteed But work good in practice Intermediate voltage of floating gate, hence high IDDQ VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 9

I DDQ Test coverage (4) Floating gate defects V fn voltage depends on the defect size Small defect (100 200 Angstroms) Coupling between the two wires Delay fault Weak voltage at the defective node Detected with IDDQ Large open Floating gate Stuck at fault? Sometime can be detected If V tn < V fn < V DD - V tp NAND Gate VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 10

I DDQ Test coverage (5) Gate Oxide Short Gate no longer isolated from the channel Undesired current path the gate oxide I DDQ2 current results show 3 or 4 orders of magnitude elevation In the good circuit, IDDQ2 current is 10 to 30 na. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 11

Vector generation for I DDQ tests (1) Leakage Fault Detection [Mao and Gulati] N2 with gate-source leakage Detection requires Vb=1 and VIN=1 This create the leakage through the fault Sensitize leakage fault Detection 2 transistor terminals with leakage must have opposite logic values, & be at driving strengths Non-driving, high-impedance states won t work current cannot go through them VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 12

Vector generation for I DDQ tests (2) Weak Fault Detection P1 (N1) Open P1(N1) degrades the input voltage Both transistors of inverter remain partially turned on Elevating I DDQ from 0 µa to 56 µa VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 13

Vector generation for I DDQ tests (3) Weak Fault Detection P1 Open P1 degrades the input voltage AND Gate IDDQ will be not elevated unless I3 is set to 1 No IDDQ path can exist if I3=0 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 14

Vector generation for I DDQ tests (4) Hierarchical Vector Selection Generate complete stuck-fault tests Characterize each logic component relate input/output logic values & internal states: To leakage fault detection To weak fault sensitization/propagation Uses switch-level simulation (once for each component) Store information in leakage & weak fault tables Logic simulate stuck-fault tests use tables to find faults detected by each vector No more switch-level simulation VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 15

Vector generation for I DDQ tests (5) Leakage Fault Table k = # component I/O pins n = # component transistors m = 2 k (# of input / output combinations) m x n matrix M represents the table Each logic state 1 matrix row Entry m i j = octal leakage fault information 6 Flags f BG f BD f BS f SD f GD f GS Sub-entry m i j = 1 if leakage fault detected VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 16

Vector generation for I DDQ tests (6) Leakage Fault Table: Example k=3, n=4 m= 2 k =8; mxn=8x4 n m NOR Gate 1 0 0 0 1 1 f BG f BD f BS f SD f GD f GS VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 17

Instrumentation difficulties Need to measure < 1 µa current at clock > 10 khz Off-chip I DDQ measurements degraded Pulse width of CMOS IC transient current Impedance loading of tester probe Current leakages in tester High noise of tester load board Much slower rate of current measurement than voltage measurement VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 18

IDDQ testing effectiveness HP static CMOS standard cell design (8577 gates and 436FFs) There is less correlation between IDDQ failures and voltage failures in general A mixture of testing methods is required to achieve a high product reliability After 100h of life testing IDDQ improve reliability VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 19

IDDQ testing effectiveness Sematech Study(1) IBM Graphics controller chip CMOS ASIC, 166,000 standard cells 0.8 µm static CMOS, 0.45 µm Transistors (L eff ), 40 to 50 MHz Clock, 3 metal layers, 2 clocks Full boundary scan on chip Tests: Scan-based stuck-at faults (99.7% FC, slow 400ns rate) Functional tests (design verification patterns;52% SAF FC; manually created) Scan based delay fault test (90 % transition delay FC) I DDQ Tests (125) (96 % pseudo-stuck-at fault coverage). VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 20

IDDQ testing effectiveness Sematech Study(2) Test process: Wafer Test Package Test Burn-In & Retest Characterize & Failure Analysis Data for devices failing some, but not all, tests. IDDQ (5 µa limit) Pass Pass Fail Fail Pass 6 1463 7 Pass Scan-based Stuck-at Fail Pass 14 6 0 1 34 13 1 8 Pass Fail Scan-based delay Fail 52 36 1251 Fail Pass Fail Pass Fail Functional All test methods uniquely detected some defect class => none can be dropped from the test program VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 21

IDDQ testing effectiveness Sematech Study(3) Sematech Conclusions: Hard to find point differentiating good and bad devices for I DDQ & delay tests High # passed functional test, failed all others High # passed all tests, failed I DDQ > 5 µa Large # passed stuck-at and functional tests Failed delay & IDDQ tests Large # failed stuck-at & delay tests Passed I DDQ & functional tests Delay test caught delays in chips at higher temperature burn-in chips passed at lower temperature VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 22

Limitations of I DDQ Testing Sub-micron technologies have increased leakage currents Transistor sub-threshold conduction Harder to find I DDQ threshold separating good & bad chips I DDQ tests work: 1. When average defect-induced current greater than average good IC current 2. Small variation in I DDQ over test sequence & between chips Detect passive defects and active defects simultaneously Now less likely to obtain two conditions (scaling) Some predict the end of IDDQ testing VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 23

Delta I DDQ Testing [Thibeault] Use derivative of I DDQ at test vector as current signature I DDQ (i) = I DDQ (i) I DDQ (i 1) Leads to a narrower histogram Eliminates any variation between chips (wafers) Threshold VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 24

Delta I DDQ Testing Results I DDQ Testing versus I DDQ testing Results P: probability of a false decision I DDQ eliminates any constant (vector-insensitive) current increase due to process drift by differential operation But process drift may still increases the measurement variations between vectors Item RYL (yield loss ratio) RTE (test escape ratio) P (= RYL + RTE) I DDQ 4.4e-4 1.8e-1 Piddq = 1.8e-1 I DDQ 3.5e-3 2.1e-3 Pdelta = 5.6e-3 Gain in test quality Piddq / Pdelta = 31 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 25

Built-in Current Testing. [Maly and Nigh] Build current sensor into ground bus of device-under-test Voltage drop device (V dro )& comparator Compares virtual ground V GND with V ref at end of each clock V GND > V ref only in bad circuits Activates circuit breaker when bad device found BIC sensor concept VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 26

Built-in Current Testing. Example A bipolar transistor is used to get better resolution for current detection Circuit Breaker disconnect the defective functional unit from power when abnormal currents occur (e.g., due to VDD-GND shorts) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 27

Summary I DDQ tests improve reliability, find defects causing: Delay, bridging, weak faults Chips damaged by electro-static discharge No natural breakpoint for current threshold Get continuous distribution bimodal would be better Conclusion: now need stuck-fault, I DDQ, and delay fault testing combined I DDQ testing is becoming more problematic Greater leakage currents in MOSFETs in deep sub-micron technologies Harder to discriminate elevated I DDQ from 100,000 transistor leakage currents I DDQ holds promise to alleviate problems Built-in current testing holds promise VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 28