Design Methodologies and Tools. Full-Custom Design

Similar documents
Design Methodologies. Full-Custom Design

Chapter 5: ASICs Vs. PLDs

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Design Methodologies

COE 561 Digital System Design & Synthesis Introduction

Spiral 2-8. Cell Layout

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

An Introduction to Programmable Logic

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

LSN 6 Programmable Logic Devices

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

FPGA Based Digital Design Using Verilog HDL

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

Evolution of CAD Tools & Verilog HDL Definition

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

FABRICATION TECHNOLOGIES

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

FYSE420 DIGITAL ELECTRONICS. Lecture 7

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

FPGA Programming Technology

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

CS310 Embedded Computer Systems. Maeng

Digital Integrated Circuits

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Memory and Programmable Logic

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Lecture #1: Introduction

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Chapter 1 Overview of Digital Systems Design

More Course Information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

FPGAs: Instant Access

E 4.20 Introduction to Digital Integrated Circuit Design

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

FPGA: What? Why? Marco D. Santambrogio

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

Overview of Digital Design Methodologies

DESIGN STRATEGIES & TOOLS UTILIZED

Programmable Logic Devices

PINE TRAINING ACADEMY

INTRODUCTION TO FPGA ARCHITECTURE

Chapter 13 Programmable Logic Device Architectures

ELCT 912: Advanced Embedded Systems

Synthesis and Optimization of Digital Circuits

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

CMPE 415 Programmable Logic Devices FPGA Technology I

Lecture (1) Introduction to FPGA. 1. The History of Programmable Logic

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Spartan-3E FPGA Design Guide for prototyping and production environment

ECE 331 Digital System Design

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Follow-up question: now, comment on what each of these acronyms actually means, going beyond a mere recitation of the definition.

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Programmable Logic Devices II

Hardware Synthesis. References

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

Introduction to ICs and Transistor Fundamentals

FPGA architecture and design technology

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

Very Large Scale Integration (VLSI)

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

ECE U530 Digital Hardware Synthesis. What is the course about?

Introduction to HW design flows

Overview of Digital Design with Verilog HDL 1

Lecture 13: Memory and Programmable Logic

The Xilinx XC6200 chip, the software tools and the board development tools

How Much Logic Should Go in an FPGA Logic Block?

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Field Programmable Gate Array (FPGA)

Programmable logic technology

FPGA How do they work?

Introduction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel

LSN 1 Digital Design Flow for PLDs

Lecture (05) Boolean Algebra and Logic Gates

Programmable Logic. Any other approaches?

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

Digital Electronics 27. Digital System Design using PLDs

101-1 Under-Graduate Project Digital IC Design Flow

Programmable Logic Devices (PLDs)

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Introduction to Field Programmable Gate Arrays

ProASIC PLUS FPGA Family

Transcription:

Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design tools 1 Full-Custom Design Every gate is carefully designed and optimized Hierarchical design may be used, place-and-route tools typically used Advantages: High density, ideal for high volumes Performance optimization Disadvantages: High design time, cumbersome Applications: Datapaths in microprocessors High-paying jobs! 2 1

Full-Custom Design (Contd.) Simple CAD tools suffice Design entry Schematic editor Layout editor DRC, LVS, Spice No need for sophisticated synthesis and design partitioning tools 3 Standard Cell Design Use pre-optimized SSI or MSI library cells NAND gates, multiplexers, adder slices, decoders, comparators, RAM, ROM Reduces design time Lower density and lower performance Standardized at the logic or function level Pitch-matched cells Routing channel 4 2

Standard Cells (Contd) CAD tools needed for partitioning design Technology mapping Design entry, DRC, LVS, simulations tools needed Place and route tools Good for moderate volumes, as in ASICs, typically used for non-critical portions of a CPU 5 Programmable Logic Programmable logic blocks PLDs, PALs Fusible links (fuses) blown when current is exceeded Programmable interconnects Mask-programmed gate-arrays (MPGAs) Field-programmable gate-arrays (FPGAs) Sea of gates 6 3

Programmable Logic PLDs Wide fan-in, 2-level SOP, optional flip-flops on output Best known: 22V10 with 22 inputs, 10 outputs, from AMD Programmed by users Fusible links MPGAs Also called gate arrays More dense than PLDs Predesigned transistors with customized wiring Wiring done during manufacture (not user) FPGAs: complex designs, user programmable 7 MPGAs vs FPGAs Number of designs 25K 20K 15K 10K 5K 1989 1990 1991 1992 1993 No. of gates 25,000 5000 1000 FPGA 100 1000 10000 100000 Standard cell Preferred MPGA implementation options PLD Volume 8 4

FPGAs FPGAs Xilinx Altera Lucent SRAM- programmed Antifuse Actel programmed channel EPROM- Xilinx programmed Altera array (similar to PALs) Analog FPGAs (FPAAs) now commercially available 9 Advantages Low design cost (custom masks not needed) Rapid turnaround Low risk Effective design verification Low testing costs-test program same for all designs FPGAs Disadvantages Programming circuitry: area penalty, ten times larger for same gate capacity as MPGA Speed: 2-3 times slower than MPGA Design methodology: too easy to use, encourages tryit-and-see-what-happens methodology 10 5

Programmable Interconnects Program the routing PLICE: Programmable Low-Impedance Circuit Element, antifuse Normally high resistance (> 100M ) Antifuse can be permanently changed to a lowresistance (200-500 ) structure, i.e. form links Low gate utilization E.g. 32-bit adder using Actel FPGAs: 160 logic modules, 65 ns for addition 3.5 32-bit adders on an FPGA chip 11 Comments on Gate Utilization for FPGAs 32-bit adder using Actel FPGAs: 160 logic modules, 65 ns for addition 3.5 32-bit adders on an FPGA chip Design time: one afternoon, $5-$10 design cost Lot of wasted logic 32-bit full-custom adder (1 micron) 1300 adders on one chip, 33 ns addition time Design time: 6 months, $200,000 12 6

Actel Logic Cell A 0 B 1 0 SA Y C D SB S0 S1 0 1 1 All 2-input functions are realized (select inputs carefully) All 3-input functions are realized (if?) Which 4-input functions? How to implement a latch? 13 Xilinx FPGAs Configurable logic blocks (contain SRAMs), CLBs Programmable interconnect 500 CLBs on a chip 100K bits of RAM per chip 32-bit adder example: 62 CLBs, 8 adders per chip Speed: 20-50 MHz 14 7

Sea of Gates Design Goal: reduce design cost of IC, rapid prototyping, fast turnaround time Not useful for high-volume production (more area per IC) Core of chip (base array) contains continuous array of n and p transistors Polysilicon laid a-priori (transistors formed in advance) Personalization done by using design-specific metallization and contacts Highly automated process: sophisticated CAD tools used 15 Sea of Gates Routing possible over unused rows 16 8

Sea of Gates Poly gates Design Decisions How many n and p rows per strip? Size and ratio of transistors Number, direction of routing tracks V DD p-diff n-diff Gnd 17 Embedded Cores Complex off-the-shelf, optimized, pre-designed circuits: processors, ASICs, memories, controllers Used for system-on-a-chip (SOC) designs Hot intellectual property (IP) IC consists of several embedded cores along with customdesigned blocks ASIC CPU DSP Custom logic Embedded DRAM Controller 18 9

Images courtesy of Intel Corporation Intra-die Inter-die Gate Wire Delay Variability Power Reliability 10

11

A TSV-based 3D IC has Multiple layers of active devices (N/P MOS) With many Through- Silicon-Vias (TSV) between layers 12

Wire Length Reduction! L L Synthesis tools Behavioral synthesis Logic synthesis Design capture Design verification Design Tools 26 13

Behavior Synthesis Tools Register-transfer (RT) level Logic level Layout Schematic Specify system behavior without implementation details Allows fast simulation/verification Technology-independent Esp. successful for signal processing architectures Silicon compilers 27 Behavioral Synthesis Behavior (VHDL, Verilog) Compiler (synthesis tool) Layout Resource constraints Parts library Technology parameters Decide upon and assign resources (scheduling and binding) Insert pipeline registers to meet timing constraints Create microcode and control logic 28 14

Logic Synthesis Optimization Netlist generation Technology mapping Logic equation Extract Netlist Input Input Technology-independent: use Boolean and/or algebraic techniques Network optimization, two-level and multi-level minimization Technology-mapping phase: cell binding 29 a e f b e f c e g a b e f c e g Logic Optimization Example f1 a e g b g d e Extract common f subexpression ef a e g t1 b f1 g d f2 f2 f1 = aef + bef + ceg f2 = aeg + bg + def Literal count = 17 f1 = (a+b)t1 + ceg f2 = aeg + bg + dt1 Literal count = 15 30 15

Design Capture Tools How to describe the behavior/structure of a system? HDLs: VHDL, Verilog High-level languages (HLLs): C, Pascal, Lisp HDLs differ from HLLs by catering for hardware concepts: bit vectors, signals, timing Like HLLs, HDLs provide structure, parameterization, conditionals, looping, hierarchy Structure specification: HDL, netlist editor Behavior specification: HDL, finite-state machines, Petri nets 31 Textual editor Easy to modify, make changes Suitable for more complex designs Schematic Entry Interactive graphics editor Example: Mentor DA Diagrams are easily understood ( A picture is worth a thousand words ) 32 16

Layout Editors Captured via code: (cell/layout generators), silicon compilation Interactive graphics editors (Mentor IC) Means for turning off detail or zooming Design rule checking programs (DRC) Layout extraction programs Determine netlist from layout 33 Design Verification Idea Functional specification = Equivalence check Back annotation RTL/logic Layout = Equivalence check Silicon Verify the tool? 34 17

Design Verification Simulation Gate-level Transistor, schematic-level (Qsim) Circuit level (HSpice): high accuracy but high simulation times, O(N m ), N transistors, 0<m<1 Formal verification: Mathematical models 35 18