DDR & DDR2 SDRAM Controller Compiler

Similar documents
DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler

RLDRAM II Controller MegaCore Function

DDR and DDR2 SDRAM Controller Compiler User Guide

RapidIO MegaCore Function

Table 1 shows the issues that affect the FIR Compiler v7.1.

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

POS-PHY Level 4 MegaCore Function

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Development Kit, Stratix II Edition

RapidIO MegaCore Function

UTOPIA Level 2 Slave MegaCore Function

Video and Image Processing Suite

Nios II Embedded Design Suite 7.1 Release Notes

RapidIO Physical Layer MegaCore Function

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide

FFT MegaCore Function

PCI Express Compiler. System Requirements. New Features & Enhancements

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues

Nios II Embedded Design Suite 6.1 Release Notes

RapidIO MegaCore Function

Cyclone II FPGA Family

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

FPGAs Provide Reconfigurable DSP Solutions

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Errata Sheet for Cyclone IV Devices

Simulating the PCI MegaCore Function Behavioral Models

DSP Builder Release Notes

Simulating the PCI MegaCore Function Behavioral Models

Quartus II Software Version 10.0 SP1 Device Support

ZBT SRAM Controller Reference Design

Active Serial Memory Interface

9. Functional Description Example Designs

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Simulating the ASMI Block in Your Design

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Multi-Channel DMA Interface

Estimating Nios Resource Usage & Performance

FFT MegaCore Function User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

10. Introduction to UniPHY IP

Using the Serial FlashLoader With the Quartus II Software

Introduction to the Altera SOPC Builder Using Verilog Design

Using the Nios Development Board Configuration Controller Reference Designs

RLDRAM II Controller MegaCore Function User Guide

Stratix II FPGA Family

Clock Control Block (ALTCLKCTRL) Megafunction User Guide

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

MAX 10 User Flash Memory User Guide

Design Guidelines for Optimal Results in High-Density FPGAs

4K Format Conversion Reference Design

Enhanced Configuration Devices

RLDRAM II Controller MegaCore Function User Guide

CORDIC Reference Design. Introduction. Background

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement

Using Verplex Conformal LEC for Formal Verification of Design Functionality

altshift_taps Megafunction User Guide

Legacy SDRAM Controller with Avalon Interface

8. Introduction to UniPHY IP

ALTDQ_DQS2 Megafunction User Guide

POS-PHY Level 2 and 3 Compiler User Guide

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

FFT MegaCore Function User Guide

Excalibur Solutions DPRAM Reference Design

Errata Sheet for Cyclone V Devices

24K FFT for 3GPP LTE RACH Detection

Nios II Performance Benchmarks

Design Verification Using the SignalTap II Embedded

Simulating Nios II Embedded Processor Designs

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

EFEC20 IP Core. Features

Quartus II Software Version 11.0 SP1 Device Support

Customizable Flash Programmer User Guide

Using MAX 3000A Devices as a Microcontroller I/O Expander

FFT MegaCore Function User Guide

White Paper Using the MAX II altufm Megafunction I 2 C Interface

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Matrices in MAX II & MAX 3000A Devices

Simple Excalibur System

PCI Compiler. System Requirements. These release notes for the PCI Compiler version contain the following information:

System Debugging Tools Overview

Nios Soft Core Embedded Processor

For Quartus II Software. This Quick Start Guide will show you how to set up a Quartus

Benefits of Embedded RAM in FLEX 10K Devices

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

9. SEU Mitigation in Cyclone IV Devices

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

lpm_rom Megafunction User Guide

Arria 10 Transceiver PHY User Guide

Quartus Prime Standard Edition Software and Device Support Release Notes Version 15.1

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Low Latency 40G Ethernet Example Design User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide

Transcription:

DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1. Errata are functional defects or errors, which may cause the DDR and DDR2 SDRAM Controller Compiler to deviate from published specifications. Documentation issues include errors, unclear descriptions, or omissions from current published specifications or product documents. DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues Altera has identified the following issues that affect the DDR and DDR2 SDRAM Controller Compiler: 1. Quartus II Software Version 6.0 on page 2 2. IP Toolbench Crashes Without Any Error Messages on page 2 3. Quartus II Timing Analyzer Reports Incorrect Capture Cycles For Postamble Control and Resynchronization on page 3 4. Using Regional Clocks on page 3 5. Some Timing Assignments Are Not Converted To HardCopy II Devices on page 4 6. DDR SDRAM in the Nios II Processor version 5.1 on page 5 7. Error Message When Recompiling a Project on page 5 8. Remove Redundant Logic Cells Option (Stratix Devices Only) on page 6 9. SOPC Builder Supported Memory Data Bus Widths on page 7 10. Precompile Timing Estimates With Four or More DQS Delay Matching Buffers (Stratix Devices Only) on page 7 11. Illegal Byte Group Placements (Stratix & Stratix GX devices only) on page 8 12. DQS I/O Pin Error (Cyclone Devices Only) on page 8 13. Design Assistant Warning Messages on page 9 Altera Corporation 1 ES-DDR1205-1.2

DDR & DDR2 SDRAM Controller Compiler 14. Multiple VHDL Support Files on page 11 15. IP Toolbench Generated Files List Is Incomplete on page 13 f For the most up-to-date errata for this release, refer to the errata sheet on the Altera website: www.altera.com/literature/es/es_ddr_ddr2_sdram_331.pdf Quartus II Software Version 6.0 The DDR and DDR2 SDRAM Controller Compiler version 3.3.1 is not compatible with the Quartus II software v6.0. This issue affects all configurations. If you want to use the Quartus II software v6.0, upgrade to the DDR and DDR2 SDRAM Controller Compiler version 3.4.0. This issue will never be fixed. IP Toolbench Crashes Without Any Error Messages If you try to edit a custom variation that includes a memory preset that is not in your memory database (memory_types.dat file), IP Toolbench crashes silently with no error message. This issue occurs when you send custom variations to other users who do not have your memory database. This issue affects designs with custom memory devices. You cannot edit the custom variation. 2 Altera Corporation

DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues Add the memory device to the memory presets list by editing the memory_types.dat file in the \constraints directory. This issue will be fixed in the next version of the DDR and DDR2 SDRAM Quartus II Timing Analyzer Reports Incorrect Capture Cycles For Postamble Control and Resynchronization If you load settings into the DDR Timing Wizard from the DDR or DDR2 SDRAM <variation name>_ddr_settings.txt file, you occasionally get incorrect values for the Resynchronize read data in cycle and Postamble reset control clock in cycle parameters. This issue only affects you if you use the DDR Timing Wizard to add timing constraints to DDR or DDR2 SDRAM MegaCore functions, and import the settings from the MegaCore settings file to the DDR Timing Wizard. The timing margins reported by the Quartus II Timing Analyzer will be wrong by a complete cycle. Edit the Resynchronize read data in cycle and Postamble reset control clock in cycle parameters and add or remove a cycle to ensure correct timing analysis. This issue will be fixed in a future version of the DDR and DDR2 SDRAM Using Regional Clocks Versions of the DDR or DDR2 SDRAM MegaCore function before v3.3.1 incorrectly allow the use of regional clocks for the datapath logic. Altera Corporation 3

DDR & DDR2 SDRAM Controller Compiler The static timing analysis performed after the design compiles requires that the all the clocks in the datapath are global, but this requirement is not checked version 3.2.0 or earlier. This issue affect designs that force the clocks going to the datapath logic onto regional clocks. Timing margins may be incorrect. Do not use regional clocks for the datapath logic. Timing analysis will report an error for these designs in the Quartus II software v5.1 and later. Some Timing Assignments Are Not Converted To HardCopy II Devices The Quartus II HardCopy II migration tool gives warnings about assignments that are not convertible to HardCopy II devices. When compiling a design that uses the DDR or DDR2 SDRAM MegaCore function, which targets a HardCopy II device, the Quartus II HardCopy II Netlist Writer gives warning messages because it ignores the MAX_DATA_ARRIVAL_SKEW assignments. This issue affects designs that use the DDR or DDR2 SDRAM MegaCore function, which target HardCopy II devices. Ignore these messages because timing analysis for the interface is provided by the DDR Timing Wizard. f Contact Altera Support for more information on DDR and DDR2 SDRAM timing analysis for HardCopy II designs. 4 Altera Corporation

DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues This issue will be fixed in a future version of the DDR and DDR2 SDRAM DDR SDRAM in the Nios II Processor version 5.1 The first time that you regenerate and then recompile the Nios II 5.1 example designs that include a DDR SDRAM controller variation, you will see the following critical warning: Critical Warning: Internal Error: pin_file <dirname>/sopc_cycloneii_nios_pins.tcl not found This warning has no effect on the design. Recompile the design a second time to remove the warning message. This issue affects the Nios II 5.1 example designs that include a DDR SDRAM controller variation. There is no impact from this warning message. Recompile the example design again and the warning does not reappear. This issue will be fixed in a future version of the DDR and DDR2 SDRAM Error Message When Recompiling a Project If you move the directory containing your Quartus II project, or rename your Quartus II project and recompile it without regenerating the DDR or DDR2 SDRAM Controller, you may receive the following error: Error: DDR timing cannot be verified until project has been successfully compiled. This error indicates that some of the settings files contain references to the previous location or project name and the verify timing script is unable to find the current project. Altera Corporation 5

DDR & DDR2 SDRAM Controller Compiler This issue affects all configurations. The timing script does not verify your design. Regenerate your controller in IP Toolbench and recompile the project. The timing analysis script now completes correctly. This issue will be fixed in a future version of the DDR and DDR2 SDRAM Remove Redundant Logic Cells Option (Stratix Devices Only) Do not turn on Remove Redundant Logic Cells in the Quartus II software if you are targeting Stratix devices. This issue affect all designs targeted at Stratix devices, if you turn on Remove Redundant Logic Cells in the Quartus II software. For Stratix devices, removing redundant logic cells makes the Quartus II software optimize away the important DQS delay matching buffers that the postamble circuitry uses. Ensure you turn off Remove Redundant Logic Cells in the Quartus II software if you are targeting Stratix devices. There are no plans to fix this issue. 6 Altera Corporation

DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues SOPC Builder Supported Memory Data Bus Widths SOPC Builder currently only supports data bus widths that are a power of 2. IP Toolbench does not impose these limitations in the SOPC Builder flow, and can therefore generate bus widths incompatible with SOPC builder, which results in the following error message during SOPC Builder system generation. ERROR: slave data width (48) for slave ddr_sdram/s1 unexpected This issue affects all configurations that specify data bus widths that are not a power of two when you use the SOPC Builder flow. You cannot generate the design in SOPC Builder. Ensure you restrict the data bus width parameter in the DDR SDRAM Controller IP Toolbench to a power of 2, for example, 8, 16, 32 or 64. This issue will be fixed in the next version of the DDR and DDR2 SDRAM Precompile Timing Estimates With Four or More DQS Delay Matching Buffers (Stratix Devices Only) For Stratix devices, if you turn on Manual postamble control and choose 4 or more for the Number of DQS delay matching buffers, the precompile timing estimates in the system timing report for the read postamble enable property are incorrect. The correct timing analysis result is shown in the post-compile timing analysis report after compiling the design in the Quartus II software. This issue affects designs on Stratix devices that require four or more DQS delay matching buffers. This issue does not affect your design. Altera Corporation 7

DDR & DDR2 SDRAM Controller Compiler Ignore the pre-compile timing estimates in the system timing report for the read postamble enable property. This issue will be fixed in the next version of the DDR and DDR2 SDRAM Illegal Byte Group Placements (Stratix & Stratix GX devices only) The IP Toolbench constraint editor allows you to place byte groups on both top and bottom of a Stratix or Stratix GX device at the same time, which causes an error in the Quartus II software. While you can split a DDR or DDR2 SDRAM interface across both the top and bottom of a Stratix device, some manual editing of the data path is required. This issue affects designs on Stratix and Stratix GX devices that split the interface across the top and bottom. The design does not compile. For more information, contact Altera. This issue will never be fixed. DQS I/O Pin Error (Cyclone Devices Only) Under some circumstances, the timing analysis may show that the design requires a dedicated resynchronization clock. The IP Toolbenchgenerated example top-level design does not automatically support a separate resynchronization clock on Cyclone devices, which causes the following error message: Error: DQS I/O pin <path name>cyclone_ddio_bidir:ddio_bidir[0] ioatom must have a combinational output to the device Error: Can't fit design in device 8 Altera Corporation

DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues This issue affects Cyclone designs for which IP Toolbench recommends a separate resynchronization clock. The design does not compile. Edit the example top-level design to instantiate a second PLL to provide a resynchronization clock with the IP Toolbench-recommended phase offset and connect this clock to the resynch_clk input of the controller. This issue will never be fixed. Design Assistant Warning Messages The Quartus II Design Assistant generates warning messages when the design does not follow a Design Assistant rule, and generates information messages to provide information regarding a rule. If you enable the Design Assistant for a design containing a DDR or DDR2 SDRAM Controller, during compilation you will see the following messages for each of the following device families, which you can safely disregard. Cyclone Devices Medium Clock signal source should drive only input clock ports Clock signal source should drive only input clock ports Node: altpll:altpll_component _clk1 Clock signal source should not drive registers that are triggered by different clock edges External reset should be synchronized using two cascaded registers Node: reset_n Altera Corporation 9

DDR & DDR2 SDRAM Controller Compiler Cyclone II Devices Medium Clock signal source should drive only input clock ports Clock signal source should not drive registers that are triggered by different clock edges External reset should be synchronized using two cascaded registers Node: reset_n Stratix Devices Medium Clock signal source should not drive registers that are triggered by different clock edges External reset should be synchronized using two cascaded registers reset_n Reset signal that is generated in one clock domain and used in other, asynchronous clock domains should be synchronized Node: dq_enable_reset[0] Stratix II Devices High Input clock pin should fan out to only one set of clock gating logic Medium Clock signal source should drive only input clock ports Clock signal source should not drive registers that are triggered by different clock edges External reset should be synchronized using two cascaded registers Node: reset_n 10 Altera Corporation

DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues HardCopy II Devices High Input clock pin should fan out to only one set of clock gating logic Medium Clock signal source should drive only input clock ports Clock signal source should not drive registers that are triggered by different clock edges External reset should be synchronized using two cascaded registers Node: reset_n PLL drives multiple clock network types Node: altpll:altpll_component pll This issue affects all configurations. There is no design impact. No workaround is necessary. This issue may be fixed in the next version of the Quartus II software and the DDR and DDR2 SDRAM Multiple VHDL Support Files The following Altera MegaCore functions generate the Altera VHDL support package (altera_vhdl_support.vhd): DDR or DDR2 SDRAM Controller MegaCore function QDRII SRAM Controller MegaCore function RLDRAM II Controller MegaCore function PCI Express MegaCore function Altera Corporation 11

DDR & DDR2 SDRAM Controller Compiler When you have a Quartus II project that contains multiple MegaCore functions that are in separate directories, there are multiple instances of the altera_vhdl_support.vhd file. If the Quartus II compilation adds two or more separate copies of altera_vhdl_support.vhd, the compilation fails. This issue affects all configurations. There is no design impact. Either generate all the project MegaCore functions in the Quartus II project directory, or ensure only one instance of the altera_vhdl_support.vhd file exists in your project. 1 Ignore the warning that IP Toolbench running outside of SOPC Builder generates, when it overwrites an existing altera_vhdl_support.vhd file. To ensure your project only includes one instance of the altera_vhdl_support.vhd file, follow these steps: 1. Choose Add/Remove Files in Project (Project menu). 2. Choose all instances of altera_vhdl_support.vhd except the first instance. 3. Click Remove. This issue will be fixed in a future version of the DDR and DDR2 SDRAM 12 Altera Corporation

DDR & DDR2 SDRAM Controller Compiler v3.3.1 Issues IP Toolbench Generated Files List Is Incomplete When you click Generate in IP Toolbench, it displays a list of the generated files in your project directory. This list is incomplete. The user guide shows the full list of generated files. Table 1 shows the files that are missing from the IP Toolbench generated files list. Table 1. IP Toolbench Missing Generated Files Filename <variation name>_bb.v <variation name>_auk_ddr_datapath_pack.vhd or.v <variation name>_ddr_sdram_vsim.tcl <variation name>_example_driver.vhd or.v <variation name>_example_settings.txt auto_add_ddr_constraints.tcl auto_verify_ddr_timing_constraints.tcl ddr_lib_path.tcl ddr_pll_fb_stratixii.vhd or.v ddr_pll_<device name>.vhd or.v generic_ddr_dimm_model.vhd generic_ddr_sdram.vhd generic_ddr2_sdram.vhd remove_constraints_for_<variation name>.tcl Description Verilog HDL black-box file for the MegaCore function variation. Use this file when using a third-party EDA tool to synthesize your design. A VHDL package, which contains a component that the IP functional simulation model uses. The ModelSim simulation script. The example driver. The settings file for your variation, which the add constraints and the verify timing scripts use. The add constraints script, which calls the variationspecific add constraints scripts. The auto verify timing script, which calls the variationspecific verify timing scripts. The Tcl library path file. Design file for the Stratix II fedback PLL. Design file for the system PLL. VHDL simulation file. VHDL simulation file. VHDL simulation file. The remove constraints script for the variation. This issue affects all configurations. There is no design impact. There is no workaround. Altera Corporation 13

DDR & DDR2 SDRAM Controller Compiler This issue may be fixed in the next version of the DDR and DDR2 SDRAM Contact Information Revision History For more information, contact Altera's mysupport website at www.altera.com/mysupport and click Create New Service Request. Choose the Product Related Request form. Table 2 shows the revision history for the DDR and DDR2 SDRAM Controller Compiler v3.3.1. Table 2. DDR & DDR2 SDRAM Controller Errata Sheet Revision History Version Date Errata Summary 1.2 April 2006 Added Quartus II Software Version 6.0 on page 2. 1.1 February 2006 Added IP Toolbench Crashes Without Any Error Messages on page 2. 1.0 December 2005 First release. 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Applications Hotline: (800) 800-EPLD Literature Services: literature@altera.com Copyright 2006 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 14 Altera Corporation