DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

Similar documents
Testable SOC Design. Sungho Kang

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

Frequently Asked Questions (FAQ)

Driving 3D Chip and Circuit Board Test Into High Gear

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Impact of DFT Techniques on Wafer Probe

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Al Crouch ASSET InterTech InterTech.com

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

High Quality, Low Cost Test

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

IJTAG Compatibility with Legacy Designs - No Hardware Changes

Chapter 0 Introduction

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

More Course Information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

Xilinx SSI Technology Concept to Silicon Development Overview

TABLE OF CONTENTS III. Section 1. Executive Summary

A Built-in Self-Test for System-on-Chip

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab

VLSI Design Automation

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation

ASIC world. Start Specification Design Verification Layout Validation Finish

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

BA-BIST: Board Test from Inside the IC Out

Stacked Silicon Interconnect Technology (SSIT)

Tessent MemoryBIST Shell. Student Workbook

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

Scaling and Energy Efficiency in Next Generation Core Networks and Switches

IEEE Std : What? Why? Where?

Testing And Testable Design of Digital Systems

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

EE586 VLSI Design. Partha Pande School of EECS Washington State University

Boundary Scan: Technology Update

Beyond Moore. Beyond Programmable Logic.

myproject - P PAR Detail

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

Design, Test & Repair Methodology for FinFET-Based Memories

Hybrid Memory Cube (HMC)

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

November 11, 2009 Chang Kim ( 김창식 )

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI Design Automation. Maurizio Palesi

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

P High Speed JTAG debug using a fire hose rather than a straw

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Multi-Die Packaging How Ready Are We?

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

101-1 Under-Graduate Project Digital IC Design Flow

IEEE P1500, a Standard for System on Chip DFT

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Evolution of CAD Tools & Verilog HDL Definition

MEMORY TEST AND REPAIR SOLUTION FOR ARM PROCESSOR CORES STEVE PATERAS JANUARY 2011

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Advancing high performance heterogeneous integration through die stacking

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

EE434 ASIC & Digital Systems Testing

IEEE P1500 Core Test Standardization

Designing Next Generation Test Systems An In-Depth Developers Guide

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

Evolution of UPF: Getting Better All the Time

All Programmable: from Silicon to System

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

Tessent TestKompress & Adv. Topics. Student Workbook

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

SiP/3D Device Test Challenges using Ever Changing JTAG Standards

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

SmartScan - Hierarchical Test Compression for Pin-limited Low Power Designs

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

High-speed I/O test: The ATE paradigm must change

A 400Gbps Multi-Core Network Processor

TechSearch International, Inc.

Non-contact Test at Advanced Process Nodes

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

Chapter 5: ASICs Vs. PLDs

BREAKING THE MEMORY WALL

IC Testing and Development in Semiconductor Area

The Boundary - Scan Handbook

Design Visibility Enhancement for Failure Analysis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

Transcription:

DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1

Outline Semiconductor Technology Trends DFT in relation to: Increasing Integration Power Management 3D Packaging Mixed-Signal Silicon Valley Test Conference 2011 2

Moore s Law Still A Ways to Go! Source: Intel rearrange the transistors into a cube and apply the type of advanced manufacturing process expected in 2020, and you d end up with a processor that occupies about the same volume as a blood cell. Mile Muller, ARM CTO, October 25, 2011 Silicon Valley Test Conference 2011 3

Moore is not the end Exponential growth will continue with next paradigm Graphene Carbon nanotubes Photonics Silicon Valley Test Conference 2011 4

More-Than-Moore Source: ITRS 2010 Silicon Valley Test Conference 2011 5

DFT in relation to Increasing Integration Silicon Valley Test Conference 2011 6

IEEE P1687 - IJTAG What is it and what is it for? An IEEE standard proposal Allows plug and play connection and usage (test/debug) of embedded instruments Compatible with 1149.1 but goes well beyond Standard includes three main components Hardware rules for 1687 instruments/networks Including port functions, timing & connection rules An Instrument Connection Language (ICL) Describes isolated nodes, partial or complete networks Enables retargeting pin/register reads/writes to scan commands A Pattern Description Language (PDL) Describes instrument usage at a given level Facilitates automatic retargeting to any higher level

IEEE P1687 At A Glance P1687 compatible network nodes (E.g.: 1149.1 TAP, 1500 WSP) ICL PDL P1687 compatible instruments P1687 ports (E.g.: TCK, ScanIn) ICL ICL PDL Hardware description of instrument Hardware description of port ICL ICL ICL PDL Description of instrument access and control patterns ICL PDL ICL PDL

IP IJTAG Certification Two new files provided by IP vendor: ICL to describe the IP in isolation PDL routines to describe the IP usage Created by IP Vendor IP ICL IP PDL Tools incorporated into Tessent ICL reader with automatic verification testbench generation Simulation testbenches ICL visualizers of inferred behavioral model PDL certification and debugging Convert PDL into verilog using ICL description Simulation testbenches to verify IP IP Verilog 1687 Testbench Generator Verilog Testbench Verilog Simulator Automatic PDL retargeting Verilog Testbench

IP IJTAG Integration and Verification Integration and verification tools used by Chip Designers / DFT engineers Integrates IP instruments and access infrastructure into design Create chip level ICL using IP level ICL and RTL/Netlist Creates certification testbenches to verify final 1687 network ICL ICL ICL 1687 Network Assembly ICL Extractor Chip ICL 1687 Testbench Generator

Hierarchical Test Critical for handling growing design sizes Benefits Reduced memory footprint Reduced tool runtime Core pattern reuse Enables test by region for power management Simplified ECO management Silicon Valley Test Conference 2011 11

Divide-And-Conquer Chain1 Chain2 Chain3 Chain4 Block 1 Block 3 Block 5 Block 7 Top Level Logic 1 Block 9 Block 11 Block 10 Block 12 Test Control Top Level Logic 2 Block 2 Block 4 Block 6 Block 8 Blocks typically correspond to physical design blocks Each block isolated by wrapper chains Top level sharing & scheduling logic enables testing of blocks in phases with limited I/O Chain5 Chain6 Chain7 Chain8 Silicon Valley Test Conference 2011 12

Divide-And-Conquer Chain1 Chain2 Chain3 Chain4 Block 1 Block 2 Block 3 Block 4 Block 5 Block 6 Block 7 Block 9 Block 11 Block 10 Block 12 Test Control Top Level Logic 1 Top Level Logic 2 Block 8 Blocks configured in External Mode to test top level glue & interconnect Only wrapper chains and top level chains needed Each block can be represented by graybox Chain5 Chain6 Chain7 Chain8 Silicon Valley Test Conference 2011 13

Hierarchical ATPG Flow 1. Core level DFT insertion 2. Core-level ATPG 3. Top-level DFT insertion 4. Core pattern retargeting 5. Top-level ATPG Chain1 Chain2 Chain3 Chain4 Block 1 1 0111101 0111101 1010100 1010100 100110 001010 Block 3 Block 5 Block 7 Top Level Logic 1 Block 9 Block 11 Block 10 Block 12 Test Control Top Level Logic 2 Block 2 2 Block 4 Block 6 Block 8 Chain5 Chain6 Chain7 Chain8 0111101 Silicon Valley Test Conference 2011 1010100 14

Hybrid DFT Solution ATPG Compression and LogicBIST Optimizes defect coverage vs test time Random patterns provide quick basic coverage Top-up compressed patterns efficiently complete coverage Reduces test pattern volume Reduces test pattern generation time Provides key optimizations between parallel and serial test applications

Hybrid DFT Solution Core test times AG, Hybrid Test Methodology: High Quality Test, April 2011 Integrated TK and LogicBIST

Hybrid DFT Solution Test Time Optimization TK Test Time = 460 + 250+ 240 = 950 TK TK LB TK LB + TK LB TK Test Time = Max(156, 216, 199) + Max(160, 110+100) = 216 + 220 = 436 AG, Hybrid Test Methodology: High Quality Test, April 2011 Integrated TK and LogicBIST

DFT in relation to Power Management Silicon Valley Test Conference 2011 18

Growing Power Challenges Not only for growing number of mobile devices but for all electronics including computing Silicon Valley Test Conference 2011 19

Power introduces Unique Challenges Design teams adopting new techniques for power management Power gating Retention and isolation cells Dynamic voltage & frequency scaling (DVFS) Power-aware testing techniques required Low-power ATPG Low-power LogicBIST Power-aware test generation Silicon Valley Test Conference 2011 20

Low-Power Decompressor Reducing Switching Activity During Shift 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 D E C O M P R E S S O R 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 Silicon Valley Test Conference 2011 21

Load/Response Shift Switching Activity Silicon Valley Test Conference 2011 22

Low-Power LogicBIST Reduces both shift and capture power Minimal or no impact on test coverage Recover coverage by increasing pattern count Allows optimization between switching activity and pattern count Minimal silicon area overhead Silicon Valley Test Conference 2011 23

Low-Power LogicBIST Typical Results Hold Regs Toggle Prob. Regs Hold Prob. Regs # patterns Effective patterns TC (%) Load Toggle Unload Toggle Conventional LBIST 10,000 1,849 85.12 49.84 48.69 4 3 2 10,000 2,018 84.52 26.15 27.51 11,392 2,189 85.15 26.13 27.75 4 2 2 10,000 2,041 82.18 17.50 19.77 17,856 3,006 85.12 17.49 20.14 4 2 3 10,000 2,107 79.68 10.90 13.82 29,952 4,397 85.12 10.93 14.53 8 3 3 10,000 2,068 78.44 9.23 12.31 33,344 4,771 85.12 9.23 13.53 Design: 1.4M gates, Scan config: 160 x 541 Silicon Valley Test Conference 2011 24

Power-Aware Test Generation Test sequence automation for multiple power states Test of low-power components Level-shifters: test under difference voltage corners Retention cells: test with source domain on then off DFVS support Need to run tests at all legal voltage and frequency combinations Silicon Valley Test Conference 2011 25

DFT in relation to 3D Packaging Silicon Valley Test Conference 2011 26

Why 3D-IC? Performance High bandwidth / bus width interfaces GPU/CPU devices Memory Overcome wire-bond performance wall Lower power Shorter wires / connections Eliminates high voltage/power I/O drivers Form factor Smaller, superior product CMOS Image Sensor Memory Memory on logic Stacked, Wire bonded IC s 3D-IC with TSV* *Through Silicon Via Samsung 8GB DDR3 DRAM Silicon Valley Test Conference 2011 27

3D Test Assumptions DUT assumed to be stacked die with TSV connections Any number and combination of memory and logic die Some form of access to test resources within each die available E.g. IEEE P1838 and/or IEEE P1687 Test objectives Test TSVs between logic die Test TSVs between logic and memory Silicon Valley Test Conference 2011 28

Logic-to-Logic TSV Interconnect Test Scan chain TSV TSVs covered by hierarchical test approach TSVs between scan-isolated cores on neighboring die Any supported fault models can be used Silicon Valley Test Conference 2011 29

Stacked Memory Test TSV External Memory BIST added to logic die Provides full-speed testing of memory die and bus Shared-bus capability supports multiple external memory die Post-silicon programmability supports changes in memory die Silicon Valley Test Conference 2011 30

DFT in relation to Mixed-Signal Silicon Valley Test Conference 2011 31

Mixed-Signal Test Growing Challenge! Test time distribution Silicon Valley Test Conference 2011 32

Mixed-Signal DFT Where are we at? PLL SerDes DDR I/Os ADC/DAC Analog RF Comprehensive commercial BIST solutions available Digital solutions provide picosecond accurate measurements Some DFT and BIST techniques available Solutions still generally too slow and/or not general enough General BIST solution under development Simple DAC/ADCs used to convert to digital domain Defining analog fault models Limited to monitor buses Silicon Valley Test Conference 2011 33

Summary More-than-Moore era will continue to push design and test for the foreseeable future DFT evolving on multiple fronts Highly scalable solutions are a must Next major challenges are 3D and mixedsignal Silicon Valley Test Conference 2011 34