Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Similar documents
Testable SOC Design. Sungho Kang

Impact of DFT Techniques on Wafer Probe

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

Digital Integrated Circuits

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

Burn-in & Test Socket Workshop

IC Testing and Development in Semiconductor Area

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

EE434 ASIC & Digital Systems Testing

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Design and Synthesis for Test

IEEE P1500 Core Test Standardization

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

High Quality, Low Cost Test

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

Testing Embedded-Core Based System Chips

SoC Design Flow & Tools: SoC Testing

More Course Information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Very Large Scale Integration (VLSI)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

Chapter 5: ASICs Vs. PLDs

Elektroonikaproduktide Testimine (P6)

IEEE P1500, a Standard for System on Chip DFT

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

IEEE JTAG Boundary Scan Standard

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

Programovatelné obvody a SoC. PI-PSC

CMOS Testing: Part 1. Outline

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

Betrouwbare Elektronica ontwerpen en Produceren

A Research Paper on Designing a TAP(Test Access Port)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

VLSI Test Technology and Reliability (ET4076)

Lecture 2 VLSI Testing Process and Equipment

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

Driving 3D Chip and Circuit Board Test Into High Gear

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Chapter 9. Design for Testability

BA-BIST: Board Test from Inside the IC Out

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Burn-in & Test Socket Workshop WELCOME. March 2-5, 2003 Hilton Phoenix East / Mesa Hotel Mesa, Arizona

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

Moore s s Law, 40 years and Counting

Digital Design Methodology

The Boundary - Scan Handbook

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Chapter 0 Introduction

Boundary Scan. Sungho Kang. Yonsei University

Introduction to ICs and Transistor Fundamentals

Al Crouch ASSET InterTech InterTech.com

A Built-in Self-Test for System-on-Chip

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

Beyond Moore. Beyond Programmable Logic.

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

November 11, 2009 Chang Kim ( 김창식 )

Testing And Testable Design of Digital Systems

Design, Test & Repair Methodology for FinFET-Based Memories

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

VLSI Testing. Lecture Fall 2003

Lecture 28 IEEE JTAG Boundary Scan Standard

Testing Principle Verification Testing

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

microsparc-iiep TM Introduction to JTAG Boundary Scan

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

TABLE OF CONTENTS III. Section 1. Executive Summary

myproject - P PAR Detail

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

Xilinx SSI Technology Concept to Silicon Development Overview

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper.

IJTAG Compatibility with Legacy Designs - No Hardware Changes

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Stacked Silicon Interconnect Technology (SSIT)

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

Design Visibility Enhancement for Failure Analysis

Frequently Asked Questions (FAQ)

Multi-Die Packaging How Ready Are We?

IEEE Std : What? Why? Where?

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Advancing high performance heterogeneous integration through die stacking

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

COE 561 Digital System Design & Synthesis Introduction

ECE 486/586. Computer Architecture. Lecture # 2

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Chapter 8 Test Standards. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

PCB Test & Programming Solutions from the IEEE Boundary-Scan Experts

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

Transcription:

Embedded Quality for Test Yervant Zorian LogicVision, Inc.

Electronics Industry Achieved Successful Penetration in Diverse Domains

Electronics Industry (cont( cont) Met User Quality Requirements satisfying users to buy products again Created an Unprecedented Dependency - market-driven product Users Competitors Electronic Product

Quality Requirements at Chip Level Manufacturing Test for Chips To achieve board defect levels < 1% To maintain ATE cost Diagnostics for Chip Manufacturing To enable physical failure analysis To enhance yield by enabling repair for large memories

Quality Requirements at Board Level Board Level Manufacturing Test Full Coverage of board level defects Chip failures (handling, stress, infant mortality) Diagnostics for Board Level Manufacturing To determine failed interconnect or chip To enable speedy repair

Conventional Test Flow Functional Patterns Deterministic ATPG with Scan Path Full Pin Count Automatic Test Equipment

Emerging Electronics Industry Products Shift to Communications and Connectivity Maintain competitive edge by providing: Greater Product Functionality Lower Cost (product life cycle) Reduced Time-to-Profitability Higher Quality and Reliability

Emerging Infrastructure The internet runs on silicon. Andy Grove The information superhighway is paved in silicon. Scott McNealey

Emerging Industry Trends Caused new technologies: Greater Complexity Increased Performance Higher Density Lower Power Dissipation

The Key Challenge To meet Users Quality Requirements for new Technologies To assure New Products are adequately: Tested, Verified, Measured, Debugged, Repaired,...

Emerging New Challenges Observe Implications on Product Realization Analyze Existing Quality Assurance Approaches Identify Challenges Demonstrate Potential Solutions Design Manufacturing Diag. & Yield Field Service

Design Challenge: Quality Implication: # of Transistors per pin (Testing Complexity Index) increased internal speed vs external Testing Complexity Index - [#Tr. per Pin] 1.60E+ 5 1.40E+ 5 1.00E+ 5 8.00E+ 5 6.00E+ 4 4.00E+ 4 2.00E+ 4 0.00E+ 0 Implications of SIA Roadmap: Testing Year F. Size [mm] 1992 0.5 1995 0.35 1998 0.25 2001 018 2004 0.12 2007 0.1 Source: W. Maly, 1996 Source: SIA Roadma Design Manufacturing Diag. & Yield Field Service

Design Challenge: Quality Leverage Internal Bandwidth vs External Bandwidth System-on-Chip ASIC I/O Drivers off-chip bus 32-bits I/O Drivers DRAM ASIC on-chip bus 128/512-bits DRAM

Design Challenge: Quality External Bandwidth max bits of data/time from ATE to IC Internal Bandwidth max bits of data/time from on- chip test resource to embedded core Bandwidth Gap 250 200 150 100 50 0 Bandwidth Gap Y1995 Y1998 Y2001 Y2004 Y2007 Y2010 Internal Bdw. (Capacity*IntFreq External Bdw (IO*ExtFreq)

Design Challenge: Quality External Test Data Volume can be extremely high (function of chip complexity) Requires deep tester memory for scan I/O pins Slow test throughput with long scan chains, especially for core-based designs External Test Super Tester Pattern Generation Precision Timing Diagnostics Power Management Test Control Very high pin count Deep memory Slow throughput Memory Logic. Mixed- Signal I/Os & Interconnects

Design Challenge: Quality Solution: Dedicated Built-In H/W for embedded test functions Repartition tester into embedded test and external test functions Include low H/W cost and high data volume embedded-quality for test External Test Standard Digital Tester Limited Speed/ Accuracy Low Cost-per-Pin Embedded Test (Built-in) Pattern Generation Result Compression Precision Timing Diagnostics Power Management Test Control Support for Board-level Test System-Level Test Memory Logic. Mixed- Signal I/Os & Interconnects Chip, Board or System Source: LogicVision

Design Challenge: Quality Source/ Sink Source/ Sink Test Access Scan Paths External Test External Test Embedded Test Source/ Sink High- Bandwidth Source/ Sink Source/ Sink Low - bandwidth High bandwidth External Test Embedded Test External Test Embedded Test

Design Challenge: TTM Implication: Emergence of reusable core-based design Multiple hardware description levels (hard, soft, firm) Standard IC functions (library elements) and legacy cores Source: VSI Alliance

SOC Market Growth 30 Worldwide Revenue (Billions of Dollars) 25 20 15 10 5 0 1998 1999 2000 2001 2002 2003 Source: Dataquest

Paradigm Shift in SOC Design System on a Chip System on a board

Design Challenge: TTM SOC manufactured and tested in single stage Core I/Os often more than Chip I/Os Test generation difficult due to limited core design knowledge Direct access to core ports unavailable Core & UDL test part of System-On-Chip test System-on-Board (SOB) Process IC Design IC Manuf. IC Test SOB Design SOB Manuf. SOB Test ASIC Design ASIC Manuf. ASIC Test System-on-Chip (SOC) Process Core Design UDL Design SOC Integration SOC Manuf. SOC Test

Design Challenge: TTM Built-in dedicated H/W to create autonomous internal core test Built-in dedicate System- On-Chip test optimization Built-In standard core test interface for each core

Design Challenge: TTM IEEE P1500 (9/96) develops a standard for embedded core test interface comprised of: Standard Core Test Information Model (CTL) using a Standard Description Language (STIL) Standard Core Test Wrapper and Control Mechanism Phase 1: Dual Compliance for Digital Cores (1149.1) Phase 2: Analog Cores (1149.4), Testability Guidelines VSI Manufacturing Test Related DWG supports IEEE P1500 standardization

Design Challenge: TTM BIST Enabled Core TP Glue Logic BIST Enabled Core TP M BIST Memory BIST M BIST Boundary Scan Chain Logic BIST BIST Enabled Core TP Glue Logic Logic BIST BIST Enabled Core TP Boundary Scan Chain M BIST M BIST Logic BIST Logic BIST Logic BIST 1149.1 TAP TDI TCK TMS TRST TDO

Design Challenge: Quality Implication: Increased chip internal speed (ASSP with 200MHz+, Microprocessors with 1 GHz+) Multiple and overlapping clock domains Multi-cycle data paths Complex clock distribution Recent SEMATECH study revealed criticality of performance faults

Design Challenge: Quality High speed ATE substantially more expensive ATE vs Chip Technology discrepancy: Tester uses 5 year old technology - chips move to next generation every 2 years ATE accuracy degrading: Chip cycle time will crossover ATE accuracy Time in ns, Yield loss in % 1000 100 10 device speed (ns) projected yield loss (%) 1 ATE OTA(nS ns) 1980 1985 1990 1995 2000 2005 2010 2015

Design Challenge: Quality Solution: Built-In dedicated H/W to run Built-In Self-Test at-speed and detect performance faults Major move for mainstream systems to implement at-speed BIST for production test Source: Sun Microsystems

Manufacturing Challenge: Test Cost Embed in a single chip: Logic, Analog, DRAM blocks Embed advanced technology blocks: FPGA, Flash, RF/Microwave Beyond Electronic blocks: MEMS Optical elements Logic Core Memory µp Logic Memory Logic Mixed Signal Memory Logic Design Manufacturing Diag. & Yield Field Service

Manufacturing Challenge: Test Cost W(5FB 8A3) R(4C2 9E0) Logic W(5FB 8A3) R(4C2 9E0) Functional Tester Logic µp Mixed Signal Mixed-Signal Tester W(5FB 8A3) R(4C2 9E0) Core Memory Logic W(5FB 8A3) R(4C2 9E0) Logic Tester Memory Memory Logic Memory Tester Multiple Insertions or Super ATE!

Moore s Law for Test 1 0.1 Cost of Silicon Mfg and Test Si capital / transistor 0.01 0.001 0.0001 Test capital / transistor 0.00001 0.000001 0.0000001 cost: cents /transistor 1982 1985 1988 1991 1994 1997 2000 2003 2006 2009 2012 Based on SIA Roadmap Data

Manufacturing Challenge: Test Cost JTAG 4 Pin Interface Low Cost Sort Machine with gicvision BIST Access Software Logic µp Logic Mixed Signal I/O s tri-stated no critical timing Core Memory Logic If full scan already exists hen the dues are already paid Memory Memory Logic LogicVision s Embedded Test

Manufacturing Challenge: Quality High Density Chips: Advanced Semiconductor Technology with very high density number of millions of transistors per sq. cm. will increase by a factor of three in next five years Test Escape due to unmodeled faults (ex: SOI process, Copper Interconnect) Use built-in dedicated h/w to execute fault model independent pseudo-random pattern based test

Manufacturing Challenge: Quality Challenge: High performance board and MCM applications (ex: 500MHz chip-to-chip) Require Chip-to-Chip Interconnect test for static and dynamic faults Source: Stratus Computers

Manufacturing Challenge: Quality Solution: IEEE 1149.1 Boundary- Scan for static faults At-Speed Interconnect Test requires Built-In dedicated H/W Source: Stratus Computers

Diagnosis & Yield Challenge: Cost Implication: Large Embedded: SRAM, DRAM, Flash Beyond certain size memories necessitate redundancy/repair during manufacturing test (and field reliability) Requires Logic ATE, Memory ATE, Laser Repair Equipment, and Redundancy Analysis Design Manufacturing Diag. & Yield Field Service

Diagnosis & Yield Challenge: Cost Two reconfiguration strategies: 1. Hard: Built-in dedicated h/w for Redundancy Analysis and external repair (fuse blow) 2. Soft: Built-In dedicated reconfiguration mechanism using BISR (test repeatability) Adopted by several chip manufacturers WAFER Memory test Analysis & Reconf Memory retest Logic test (wafer) Packaging Logic test (package) Pass/Fail Testing/Repair of ASIC with Large Embedded Memory Memory Repair

Diagnosis & Yield Challenge: TTM Advanced Packaging Technologies Increased use of Flip-Chip Technology: Flip-Chip design dedicates a metal layer for area array interconnects (Direct Chip Attach, Chip Scale Packaging, BGA and MCM) Increased use of Fine-Pitch Technology: probing limitations due to miniaturized package technologies (FC, CSP) -> very expensive probe cards Increased use of bare die usage -> inadequacy of conventional package test (Direct Chip Attach, MCM)

Diagnosis & Yield Challenge: TTM Conventional failure mode analysis based on E-beam can not be used with flip-chip Solution: Use Embedded Quality functions for diagnosis: stop-on-error, data logging for memories, and scan-based diagnosis for random logic. This can be leveraged for interactive at- speed diagnosis. Flip-chip die

Diagnosis & Yield Challenge: TTM Conventional failure mode analysis based on E-beam can not be used with flip-chip Solution: Use Embedded Quality functions for diagnosis: stop-on-error, data logging for memories, and scan-based diagnosis for random logic. This can be leveraged for interactive at- speed diagnosis. Flip-chip die

Diagnosis & Yield Challenge: TTM Source HPL, Inc

Diagnosis & Yield Challenge: TTM Source HPL, In

Field Challenge: Quality Challenge: Increase Impact of Cosmic Radiation on Sea Level Solutions: Embedded test dedicated h/w function for On-line BIST to detect transient (soft) errors Design Manufacturing Diag. & Yield Field Service

Field Challenge: Cost, TTM Design and Manufacturing of Complex Boards and Systems costs too much and takes too long Test development cost is 35% of total product development time Test, Diagnosis and Repair cost for complex systems reaches 40-50% of total product realization cost

Hierarchical Embedded Test OS / Software Interface (accessible by network) at the Application layer Service Kernel Software Operating System and Applications ATE / Hardware Interface (accessible by connector) for Manufacturing or Field EQ Hardware EQ EQ Box Board SOC Reuse of dedicated built-in quality hardware Source: LogicVision

Field Challenge: Cost, TTM Leveraging dedicated Embedded Quality function drastically reduces test development interval and test costs Without Built-In Quality With Built-In Quality 29.4 % 3 10 16.4 3.6 2.5 1.6 7.7 % 0 5 10 15 20 25 30 Test Cost as % of Total Life Cycle Cost Source: AeroSpace Study

Conventional Quality Paradox Design Test Management Manufacturing Test Management It is really not my problem, but I will do what I can to help out. I have little control on the design process! I will buy the best testers possible and perform as much testing as possible to ensure the expected quality.

Test Resource Partitioning External ATE DFT Methods Logic Tester Memory Tester Mixed Signal Tester Processor Tester Board Tester Embedded Test H/W Automation Tools Test Programs Diagnostic Data Scan Design Isolation & Access Random Pattern BIST Algorithmic BIST 1149.1 TAP/BSCAN A Solution that Combines the Best of DFT and ATE

Conclusions To ensure quality of electronic products using emerging technologies: Embedded Quality functions are added into the high level designs of embedded cores, SOCs,, board and systems A range of Embedded Quality functions provide adequate test, verification, diagnosis, debug, measurement and repair Design Manufacturing Diag. & Yield Field Service

Thank YOU for your attention