An Efficient Approach to Energy Saving in Microcontrollers

Size: px
Start display at page:

Download "An Efficient Approach to Energy Saving in Microcontrollers"

Transcription

1 An Efficient Approach to Energy Saving in Microcontrollers Wenhong Zhao 1 and Feng Xia 2 1 Precision Engineering Laboratory, Zhejiang University of Technology, Hangzhou , China wenhongzhao@gmail.com 2 National Laboratory of Industrial Control Technology, Zhejiang University, Hangzhou , China xia@iipc.zju.edu.cn Abstract. Although energy saving has increasing importance for energy-limited microcontrollers, low power and high control performance are at odds with each other. This paper presents a simple yet efficient dynamic voltage scaling (DVS) scheme that targets reducing CPU energy consumption while meeting control requirements. With focus on two typical kinds of sources of workload variability, it explores a combination of time-triggered and event-triggered mechanisms. Simulations are carried out to highlight the merits of the proposed approach. It is argued that in comparion with traditional DVS scheme, it saves considerably more energy while providing comparable control performance. Keywords: Energy saving, dynamic voltage scaling, microcontroller, control performance, workload variability. 1 Introduction In recent years, there has been a growing use of embedded computing platforms in real-time control applications, e.g., mobile robots and automotive electronic systems, etc. An evident trend in today s embedded applications is that an increasing number of devices are battery-powered. Battery life thereby becomes a critical factor that determines the usability of the system, and must be taken into account during system design. However, the goals of achieving high performance and prolonging battery life are at odds with each other in a way that improving performance often demands higher energy consumption [1]. In the context of embedded control, the limited computing capacity further causes the problem of energy management to be much more vital for microcontrollers running on batteries. They must have low energy consumption in order to prolong battery life while providing required control performance [2-4]. One promising method to achieve tradeoffs between low power and high performance in CMOS-based embedded systems is dynamic voltage scaling (DVS) [1, 5]. The majority of existing microprocessors such as Intel s Xscale and StrongARM, AMD s K6-2+, and Transmeta s Crusoe, etc. have all supported this technique. DVS exploits the hardware characteristics of these processors to reduce C. Jesshope and C. Egan (Eds.): ACSAC 2006, LNCS 4186, pp , Springer-Verlag Berlin Heidelberg 2006

2 596 W. Zhao and F. Xia energy consumption through dynamically changing the supply voltage and operating frequency at the same time. It has been demonstrated to be highly effective in saving energy for different types of applications, both real-time and non real-time. In traditional microcontrollers, concurrent control tasks are usually scheduled according to their worst-case execution times (WCET). Because the actual execution time of a task is less then its WCET in most cases, budgeting for the WCET may result in excessive energy consumption even if the DVS technique is adopted [6]. Moreover, the workload of a multitasking microcontroller may vary significantly during run time. Typical reasons include, e.g., changes in either task execution times or the number of tasks. This fluctuating feature of workload makes it not so easy to develop an efficient DVS scheme for microcontrollers. In the literature, only a few researchers have applied DVS to real-time control tasks. Lee and Kim [7] consider tradeoffs between control performance and energy consumption for the first time, and propose both static and dynamic solutions. Xia et al. [2] suggest the DVS-FS scheme by integrating DVS with feedback control realtime scheduling. Wang et al. [8] propose a static energy-aware optimization solution using evolution strategy for codesign of control and real-time scheduling. Jin et al. [9] has dealt with the problem of energy-aware scheduling design of control tasks. Xia and Sun [3] present the methodology of EDVS based on direct feedback scheduling. Following this methodology, we develop a cost-effective DVS approach that uses an asynchronous period adjustment mechanism in our previous work [4]. In this paper, we attack the problem of saving energy in multitasking microcontroller where the workload changes significantly over time. A DVS scheme that essentially operates in an interval-based fashion is presented. In order to properly scale the voltage of the processor, the near-future workload is predicted using a simple yet effective algorithm. Besides the time-triggered feature of our approach, we also introduce an event-triggered mechanism to cope with the workload variability due to adding or removing control loops/tasks, which may be needed during system reconfiguration. Simulation experiments are carried out to evaluate the performance of the proposed approach. The structure of this paper is as follows. In Section 2, we describe the problem considered. Our approach is presented in Section 3. Its performance is evaluated in Section 4 by simulation experiments. Section 5 concludes this paper. 2 Problem Description Consider a DVS-enabled microcontroller where N independent controller tasks {τ i } run concurrently. Each controller task is responsible for controlling a plant. It is natural for controller tasks that they are periodic, with the deadline equal to the period. Each control task τ i is characterized by the following parameters: c i : the execution time. For various reasons, e.g., the size of sampled data to be processed by the control algorithm changes with the state of the plant, this parameter may vary during run time and is not known until the completion of task execution. w i : the worst-case execution time assumed to be known.

3 An Efficient Approach to Energy Saving in Microcontrollers 597 h i : the period that corresponds to the sampling period of the relevant control loop. Once designed offline, the periods of all tasks will remain fixed. For sake of simple description, we define c i and w i for the case where the processor operates at its full speed. Note that when the voltage is adjusted, the actual execution time and worst-case execution time of each task will change accordingly. 2.1 Tradeoff Between Energy and Delay For processors built on CMOS circuits, the dominant source of energy consumption is the dynamic power dissipation. It has been found that the amount of energy consumption, E i, for task τ i typically increases quadratically with the supply voltage [10,11]. Therefore we have (1) E E ( C R V ) V 2 2 total i i i dd dd where C i is a constant indicating the average switched capacitance per clock cycle, R i is the total number of cycles required for the execution of the task, and V dd is the supply voltage. Employing an interval-based DVS approach, we will assign the same voltage level for all tasks within every time interval. According to (1), reducing voltage saves energy. However, the voltage reduction increases circuit delay, D, and their relationship approximates that D V V V 2 dd /( dd t ) (2) where V t is the threshold voltage. For control loops, a natural result of increase in circuit delay is longer control delay, which degrades control performance from the control perspective. This will be much more serious if the task schedulability is violated due to prolonged task execution times. Obviously, there is a fundamental tradeoff between saving energy and improving control performance when dynamically scaling the voltage of the microcontroller. 2.2 Workload Variability With DVS, the degree to which the energy consumption could be reduced is highly dependent on the system workload [11]. As a consequence, workload variability affects the effectiveness of DVS, especially when the timeliness and schedulability of all tasks must be guaranteed in order to achieve required control performance. In this paper, we consider two typical kinds of sources of workload variations: 1) Varying task execution times. The execution time (parameter c i ) of each task may change over time, regardless of the voltage scaling. 2) Dynamic task activation and termination. New tasks/loops may be added, and existing tasks/loops may be removed at runtime. In the next section, we will present an efficient DVS scheme to manage workload variability, with the primary goal of saving energy while maintaining satisfactory control performance.

4 598 W. Zhao and F. Xia 3 The Proposed Approach Assume that all CPU voltages are normalized with respect to the maximum value, and the normalized voltage, α, can be changed continuously in the range of [α min, 1], where α min is the minimum (normalized) voltage. When the voltage is set to α, the actual (worst-case) execution time of τ i will be c i /α (w i /α). The switching overheads between voltage levels are neglected. Control tasks are scheduled according to the EDF algorithm. Throughout this paper, we define workload as the product of CPU utilization and normalized voltage. The architecture of our approach is given in Fig. 1. Basically, the proposed DVS scheme operates in a time-triggered fashion. That is, it performs the workload prediction and voltage scaling operations at regular intervals during run time. We choose such a system-level method rather than a task-level approach mainly because of its simplicity and effectiveness. A second reason is that task-level solutions often require modification of the application program as well as support from the compiler, which cannot always be guaranteed in the context of embedded control. Task Activation or Termination event timer Workload Prediction Voltage Scaling CPU DVS Monitor 3.1 Workload Prediction Fig. 1. Architecture of our approach Let s consider first the workload variability caused by varying execution times only. During every invocation interval, the proposed DVS approach monitors current CPU utilization, u k, which is the ratio of busy CPU time to the interval, T. Then the workload of current interval is calculated as k = u k α k. To predict the near-future workload, several algorithms have been presented in the realm of DVS [5]. We here use a simple algorithm that has been successfully applied to job execution-time estimation for real-time control tasks [12]. The predicted workload will be: ˆ = ˆ (1 ) k+ 1 λ + k λ k (3) where λ is a forgetting factor. Based on the predicted workload, the DVS module will adjust the voltage such that the CPU cycles will be fully utilized in the next interval. Similar to our previous work

5 An Efficient Approach to Energy Saving in Microcontrollers 599 [4], we here set the voltage level that maximizes the CPU utilization while meeting the task schedulability constraint, i.e., uˆ ˆ k+ 1 = k+ 1/ α k Therefore we have α αmin ˆ < αmin = ˆ α ˆ 1 1 ˆ > 1 k+ 1 k+ 1 min k+ 1 (4) 3.2 Event-Triggered Mechanism To cope with abrupt and large workload variations induced by dynamic task activation and termination, we integrate an even-triggered mechanism into the originally time-triggered DVS architecture. Each time a new control task/loop is added or an existing task/loop is removed, the DVS scheme will be triggered immediately, causing the CPU voltage to be re-assigned as follows. In case a new control loop j is added during the time interval [ Tk, T ), we will first update the value of ˆ by ˆ = ˆ + w / h. (5) k+ 1 k+ 1 j j If several tasks are added at the same time, the above operation will be performed iteratively for every task. And then a new voltage will be determined using (4). In case of task termination, the event-triggered mechanism operates similarly. The only difference relies on the algorithm used to update ˆ. When τ j is removed, it becomes ˆ = ˆ c / h (6) k+ 1 k+ 1 j j where c j is the recorded execution time of the latest job of task j. Note that each time a new value of ˆ is calculated, it will be memorized temporarily for further use and the old one could be discarded. 4 Evaluation We next conduct simulation experiments to evaluate the performance of our approach. Consider the case where four simple control loops share one variablevoltage microcontroller. The controlled plants have the same transfer function G(s)=1000/(s 2 +s), and each control task executes a well-designed PID control algorithm. The timing parameters (w i, h i ) of each task are (3, 10), (2, 9), (2, 10), (2, 9) respectively, in time unit of ms. The parameter c i of each task vary uniformly in the range [ β wi, wi], 0 < β 1. This distribution function of task execution times has been used in [13]. Other parameters are set as follows: α min =0.36, λ=0.6, T=50ms. Simulations run in the following pattern. At the start, task τ 1 and τ 2 are switched on. Task τ 3 and τ 4 remain off until t = 1s. At time t = 2s, task τ 4 is removed. The whole

6 600 W. Zhao and F. Xia simulation lasts 3s. Each plant experiences an input step change every 1s when active. Three methods are examined: 1) NON: the CPU always operates at full speed, 2) TRA: traditional DVS based on WCET, 3) OUR: the approach presented in Section 3. Each value reported below takes the average of 10 runs. Fig. 2. Energy consumption ratio Fig. 3. Control cost ratio Energy Consumption Ratio (ECR). We define ECR as the ratio of energy consumption of TRA or OUR to that of NON. Accordingly, K ECR = α K, where K is the total number of invocation. Fig. 2 shows the ECR of TRA and OUR as a function of β. It is clear that DVS is effective in energy saving, and our approach is able to save up to 40% more energy compared with traditional DVS scheme. OUR and TRA become nearly identical when β=1. Control Cost Ratio (CCR). In simulations we record the IAE (integral Absolute Error) of each loop to assess the control performance. The CCR is defined as the ratio of the sum of all loops IAE of TRA or OUR to that of NON. Note that the larger the control cost the worse the control performance. The simulation results are shown in Fig. 3. As we can see, the examined three schemes deliver comparable control performance, because all CCR values are quite close to one. k = 1 2 k 5 Conclusions This paper deals with the problem of reducing energy consumption in multitasking microcontrollers. An efficient DVS scheme that features the combination of timetriggered and event-triggered mechanisms is presented. It is devoted to managing the workload variability of real-time control tasks. Simulation results show that compared with traditional DVS method, our approach is more effective in reducing energy consumption while guaranteeing comparable control performance. Acknowledgments. This work is partially supported by Zhejiang Provincial Natural Science Foundation of China under Grant No. M

7 An Efficient Approach to Energy Saving in Microcontrollers 601 References 1. P. Pillai and K.G. Shin: Real-Time Dynamic Voltage Scaling for Low Power Embedded Operating Systems, Proc. 18th ACM symposium on Operating Systems Principles, Banff, Alberta, Canada (2001) Feng Xia, Xiaohua Dai, Xiaodong Wang, and Youxian Sun: Feedback Scheduling of Real- Time Control Tasks in Power-Aware Embedded Systems, Proc. 2nd Int. Conf. on Embedded Software and Systems, Xi'an, China, IEEE CS Press (2005) Feng Xia and Youxian Sun: An Enhanced Dynamic Voltage Scaling Scheme for Energy- Efficient Embedded Real-Time Control Systems, Lecture Notes in Computer Science 3983 (2006) Wenhong Zhao and Feng Xia: Dynamic Voltage Scaling with Asynchronous Period Adjustment for Embedded Controllers. Dynamics of Continuous, Discrete and Impulsive Systems - Series B: Applications and Algorithms, Special Issue on ICSCA 06, Watam Press (2006) Mattijs Kersten: Dynamic Voltage Scaling and its Scheduling Implications, Research Seimar on Energy Awareness, University of Helsinki (2005) 6. Y. Zhu and F. Mueller: Feedback EDF Scheduling Exploiting Dynamic Voltage Scaling, Proc. IEEE Real-Time and Embedded Technology and Applications Symposium (2004) H. S. Lee and B. K. Kim: Dynamic Voltage Scaling for Digital Control System Implementation, Real-Time Systems 29 (2005) H. A. Wang, H. Jin, H. Wang, and G. Z. Dai: Energy-Aware Optimization Design of Digital Control Systems with Evolution Strategy, Dynamics of Continuous, Discrete and Impulsive Systems - Series B: Applications and Algorithms, Special Issue on ICSCA 06, Watam Press (2006) Hong Jin, Danli Wang, Hong-an Wang, and Hui Wang: Energy-aware scheduling design of control tasks, The Int. Conf. on Parallel and Distributed Processing Techniques and Applications, Las Vegas, Nevada, USA (2005) 10. Woo-Cheol Kwon and Taewhan Kim: Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors, ACM Transactions on Embedded Computing Systems 4:1 (2005) A. Sinha and A. P. Chandrakasan: Energy efficient real-time scheduling, Proc. IEEE/ACM ICCAD, San Jose, California, USA (2001) A. Cervin, J. Eker, B. Bernhardsson, K.-E. Årzén: Feedback-Feedforward Scheduling of Control Tasks, Real-Time Systems 23:1 (2002) C. M. Krishna and Yann-Hang Lee: Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems, IEEE Trans. on Computers 52:12 (2003)

Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-Driven Systems Λ

Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-Driven Systems Λ Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-Driven Systems Λ Dongkun Shin Jihong Kim School of CSE School of CSE Seoul National University Seoul National University Seoul, Korea

More information

Evaluating a DVS Scheme for Real-Time Embedded Systems

Evaluating a DVS Scheme for Real-Time Embedded Systems Evaluating a DVS Scheme for Real-Time Embedded Systems Ruibin Xu, Daniel Mossé, Rami Melhem Computer Science Department, University of Pittsburgh {xruibin,mosse,melhem}@cs.pitt.edu Abstract Dynamic voltage

More information

CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER

CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER 73 CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER 7.1 INTRODUCTION The proposed DVS algorithm is implemented on DELL INSPIRON 6000 model laptop, which has Intel Pentium Mobile Processor

More information

WITH THE proliferation of the embedded computing devices,

WITH THE proliferation of the embedded computing devices, 316 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 6, NO. 3, AUGUST 2010 On Maximizing Reliability of Real-Time Embedded Applications Under Hard Energy Constraint Baoxian Zhao, Hakan Aydin, Member,

More information

A Simple Model for Estimating Power Consumption of a Multicore Server System

A Simple Model for Estimating Power Consumption of a Multicore Server System , pp.153-160 http://dx.doi.org/10.14257/ijmue.2014.9.2.15 A Simple Model for Estimating Power Consumption of a Multicore Server System Minjoong Kim, Yoondeok Ju, Jinseok Chae and Moonju Park School of

More information

A practical dynamic frequency scaling scheduling algorithm for general purpose embedded operating system

A practical dynamic frequency scaling scheduling algorithm for general purpose embedded operating system A practical dynamic frequency scaling scheduling algorithm for general purpose embedded operating system Chen Tianzhou, Huang Jiangwei, Zheng Zhenwei, Xiang Liangxiang College of computer science, ZheJiang

More information

Implementation Synthesis of Embedded Software under Operating Systems Supporting the Hybrid Scheduling Model

Implementation Synthesis of Embedded Software under Operating Systems Supporting the Hybrid Scheduling Model Implementation Synthesis of Embedded Software under Operating Systems Supporting the Hybrid Scheduling Model Zhigang Gao 1, Zhaohui Wu 1, and Hong Li 1 1 College of Computer Science, Zhejiang University

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

An Integration of Imprecise Computation Model and Real-Time Voltage and Frequency Scaling

An Integration of Imprecise Computation Model and Real-Time Voltage and Frequency Scaling An Integration of Imprecise Computation Model and Real-Time Voltage and Frequency Scaling Keigo Mizotani, Yusuke Hatori, Yusuke Kumura, Masayoshi Takasu, Hiroyuki Chishiro, and Nobuyuki Yamasaki Graduate

More information

Dual-Processor Design of Energy Efficient Fault-Tolerant System

Dual-Processor Design of Energy Efficient Fault-Tolerant System Dual-Processor Design of Energy Efficient Fault-Tolerant System Shaoxiong Hua Synopsys Inc. 7 E. Middlefield Road Mountain View, CA 9443 huas@synopsys.com Pushkin R. Pari Intel Technology India Pvt. Ltd.

More information

Resource Load Balancing Based on Multi-agent in ServiceBSP Model*

Resource Load Balancing Based on Multi-agent in ServiceBSP Model* Resource Load Balancing Based on Multi-agent in ServiceBSP Model* Yan Jiang 1, Weiqin Tong 1, and Wentao Zhao 2 1 School of Computer Engineering and Science, Shanghai University 2 Image Processing and

More information

Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors

Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors G. Chen 1, M. Kandemir 1, I. Kolcu 2, and A. Choudhary 3 1 Pennsylvania State University, PA 16802, USA 2 UMIST,

More information

Predictive Thermal Management for Hard Real-Time Tasks

Predictive Thermal Management for Hard Real-Time Tasks Predictive Thermal Management for Hard Real-Time Tasks Albert Mo Kim Cheng and Chen Feng Real-Time System Laboratory, Department of Computer Science University of Houston, Houston, TX 77204, USA {cheng,

More information

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System HU WEI, CHEN TIANZHOU, SHI QINGSONG, JIANG NING College of Computer Science Zhejiang University College of Computer

More information

Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems

Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems Dakai Zhu, Nevine AbouGhazaleh, Daniel Mossé and Rami Melhem Computer Science Department University of Pittsburgh Pittsburgh,

More information

Integrated Computation, Communication and Control: Towards Next Revolution in Information Technology

Integrated Computation, Communication and Control: Towards Next Revolution in Information Technology Integrated Computation, Communition and Control: Towards Next Revolution in Information Technology Feng Xia, Zhi Wang, and Youxian Sun National Laboratory of Industrial Control Technology, Institute of

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Energy Aware Computing in Cooperative Wireless Networks

Energy Aware Computing in Cooperative Wireless Networks Energy Aware Computing in Cooperative Wireless Networks Anders Brødløs Olsen, Frank H.P. Fitzek, Peter Koch Department of Communication Technology, Aalborg University Niels Jernes Vej 12, 9220 Aalborg

More information

Energy-Constrained Scheduling of DAGs on Multi-core Processors

Energy-Constrained Scheduling of DAGs on Multi-core Processors Energy-Constrained Scheduling of DAGs on Multi-core Processors Ishfaq Ahmad 1, Roman Arora 1, Derek White 1, Vangelis Metsis 1, and Rebecca Ingram 2 1 University of Texas at Arlington, Computer Science

More information

Parallelizing Inline Data Reduction Operations for Primary Storage Systems

Parallelizing Inline Data Reduction Operations for Primary Storage Systems Parallelizing Inline Data Reduction Operations for Primary Storage Systems Jeonghyeon Ma ( ) and Chanik Park Department of Computer Science and Engineering, POSTECH, Pohang, South Korea {doitnow0415,cipark}@postech.ac.kr

More information

Real-Time Dynamic Voltage Hopping on MPSoCs

Real-Time Dynamic Voltage Hopping on MPSoCs Real-Time Dynamic Voltage Hopping on MPSoCs Tohru Ishihara System LSI Research Center, Kyushu University 2009/08/05 The 9 th International Forum on MPSoC and Multicore 1 Background Low Power / Low Energy

More information

Unequal Error Recovery Scheme for Multimedia Streaming in Application-Level Multicast

Unequal Error Recovery Scheme for Multimedia Streaming in Application-Level Multicast Unequal Error Recovery Scheme for Multimedia Streaming in Application-Level Multicast Joonhyoung Lee, Youngha Jung, and Yoonsik Choe Department of Electrical and Electronic Engineering, Yonsei University,

More information

Energy-aware Scheduling for Frame-based Tasks on Heterogeneous Multiprocessor Platforms

Energy-aware Scheduling for Frame-based Tasks on Heterogeneous Multiprocessor Platforms Energy-aware Scheduling for Frame-based Tasks on Heterogeneous Multiprocessor Platforms Dawei Li and Jie Wu Department of Computer and Information Sciences Temple University Philadelphia, USA {dawei.li,

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

A Methodology for Improving Software Design Lifecycle in Embedded Control Systems

A Methodology for Improving Software Design Lifecycle in Embedded Control Systems A Methodology for Improving Software Design Lifecycle in Embedded Control Systems Mohamed El Mongi Ben Gaïd, Rémy Kocik, Yves Sorel, Rédha Hamouche To cite this version: Mohamed El Mongi Ben Gaïd, Rémy

More information

Dynamic Load balancing for I/O- and Memory- Intensive workload in Clusters using a Feedback Control Mechanism

Dynamic Load balancing for I/O- and Memory- Intensive workload in Clusters using a Feedback Control Mechanism Dynamic Load balancing for I/O- and Memory- Intensive workload in Clusters using a Feedback Control Mechanism Xiao Qin, Hong Jiang, Yifeng Zhu, David R. Swanson Department of Computer Science and Engineering

More information

A task migration algorithm for power management on heterogeneous multicore Manman Peng1, a, Wen Luo1, b

A task migration algorithm for power management on heterogeneous multicore Manman Peng1, a, Wen Luo1, b 5th International Conference on Advanced Materials and Computer Science (ICAMCS 2016) A task migration algorithm for power management on heterogeneous multicore Manman Peng1, a, Wen Luo1, b 1 School of

More information

TIMES A Tool for Modelling and Implementation of Embedded Systems

TIMES A Tool for Modelling and Implementation of Embedded Systems TIMES A Tool for Modelling and Implementation of Embedded Systems Tobias Amnell, Elena Fersman, Leonid Mokrushin, Paul Pettersson, and Wang Yi Uppsala University, Sweden. {tobiasa,elenaf,leom,paupet,yi}@docs.uu.se.

More information

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System HU WEI CHEN TIANZHOU SHI QINGSONG JIANG NING College of Computer Science Zhejiang University College of Computer Science

More information

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI CMPE 655- MULTIPLE PROCESSOR SYSTEMS OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI What is MULTI PROCESSING?? Multiprocessing is the coordinated processing

More information

An Automatic Timestamp Replanting Algorithm for Panorama Video Surveillance *

An Automatic Timestamp Replanting Algorithm for Panorama Video Surveillance * An Automatic Timestamp Replanting Algorithm for Panorama Video Surveillance * Xinguo Yu, Wu Song, Jun Cheng, Bo Qiu, and Bin He National Engineering Research Center for E-Learning, Central China Normal

More information

A Design Framework for Real-Time Embedded Systems with Code Size and Energy Constraints

A Design Framework for Real-Time Embedded Systems with Code Size and Energy Constraints A Design Framework for Real-Time Embedded Systems with Code Size and Energy Constraints SHEAYUN LEE Samsung Electronics Co., Ltd. INSIK SHIN University of Pennsylvania WOONSEOK KIM Samsung Electronics

More information

Applications. Department of Computer Science, University of Pittsburgh, Pittsburgh, PA fnevine, mosse, childers,

Applications. Department of Computer Science, University of Pittsburgh, Pittsburgh, PA fnevine, mosse, childers, Toward the Placement of Power Management Points in Real Time Applications Nevine AbouGhazaleh, Daniel Mosse, Bruce Childers and Rami Melhem Department of Computer Science, University of Pittsburgh, Pittsburgh,

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Bluetooth ACL Packet Selection Via Maximizing the Expected Throughput Efficiency of ARQ Protocol

Bluetooth ACL Packet Selection Via Maximizing the Expected Throughput Efficiency of ARQ Protocol Bluetooth ACL Packet Selection Via aximizing the Expected Throughput Efficiency of AQ Protocol Xiang Li 1,2,*, an-tian Li 1, Zhen-Guo Gao 2, and Li-Ning Sun 1 1 obot esearch Institute, Harbin Institute

More information

THERE exists several application areas, such as image processing

THERE exists several application areas, such as image processing IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 10, OCTOBER 2006 1117 Quasi-Static Assignment of Voltages and Optional Cycles in Imprecise-Computation Systems With Energy

More information

A NOVEL APPROACH TO EXTEND STANDBY BATTERY LIFE FOR MOBILE DEVICES

A NOVEL APPROACH TO EXTEND STANDBY BATTERY LIFE FOR MOBILE DEVICES A NOVEL APPROACH TO EXTEND STANDBY BATTERY LIFE FOR MOBILE DEVICES Tejas H 1, B S Renuka 2, Vikas Mishra 3 1 Industrial Electronics, SJCE, Mysore 2 Department of Electronics and Communication, SJCE, Mysore

More information

Network QoS Management in Cyber-Physical Systems

Network QoS Management in Cyber-Physical Systems Network QoS Management in Cyber-Physical Systems Feng Xia,3, Longhua Ma 2, Jinxiang Dong, and Youxian Sun 2 College of Computer Science and Technology, Zhejiang University, Hangzhou 327, China f.xia@ieee.org

More information

ENERGY EFFICIENT SCHEDULING SIMULATOR FOR DISTRIBUTED REAL-TIME SYSTEMS

ENERGY EFFICIENT SCHEDULING SIMULATOR FOR DISTRIBUTED REAL-TIME SYSTEMS I J I T E ISSN: 2229-7367 3(1-2), 2012, pp. 409-414 ENERGY EFFICIENT SCHEDULING SIMULATOR FOR DISTRIBUTED REAL-TIME SYSTEMS SANTHI BASKARAN 1, VARUN KUMAR P. 2, VEVAKE B. 2 & KARTHIKEYAN A. 2 1 Assistant

More information

Area-Efficient Error Protection for Caches

Area-Efficient Error Protection for Caches Area-Efficient Error Protection for Caches Soontae Kim Department of Computer Science and Engineering University of South Florida, FL 33620 sookim@cse.usf.edu Abstract Due to increasing concern about various

More information

[Telchy, 4(11): November, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Telchy, 4(11): November, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 [Telchy, 4(): November, 5] ISSN: 77-9655 (IOR), Publication Impact Factor:.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY INTELLIGENT OPTIMIZATION AND SCHEDULING OF NETWORKED

More information

Integrated CPU and Cache Power Management in Multiple Clock Domain Processors

Integrated CPU and Cache Power Management in Multiple Clock Domain Processors Integrated CPU and Cache Power Management in Multiple Clock Domain Processors Nevine AbouGhazaleh, Bruce Childers, Daniel Mossé & Rami Melhem Department of Computer Science University of Pittsburgh HiPEAC

More information

Real-Time Dynamic Energy Management on MPSoCs

Real-Time Dynamic Energy Management on MPSoCs Real-Time Dynamic Energy Management on MPSoCs Tohru Ishihara Graduate School of Informatics, Kyoto University 2013/03/27 University of Bristol on Energy-Aware COmputing (EACO) Workshop 1 Background Low

More information

UML-Based Analysis of Power Consumption for Real-Time Embedded Systems

UML-Based Analysis of Power Consumption for Real-Time Embedded Systems 2011 International Joint Conference of IEEE TrustCom-11/IEEE ICESS-11/FCST-11 UML-Based Analysis of Power Consumption for Real-Time Embedded Systems Matthias Hagner, Adina Aniculaesei, and Ursula Goltz

More information

Trust4All: a Trustworthy Middleware Platform for Component Software

Trust4All: a Trustworthy Middleware Platform for Component Software Proceedings of the 7th WSEAS International Conference on Applied Informatics and Communications, Athens, Greece, August 24-26, 2007 124 Trust4All: a Trustworthy Middleware Platform for Component Software

More information

ENERGY-EFFICIENT SUB-CLUSTERING WITH OPTIMIZED PROCESSOR SPEED (ESOPS) IN WIRELESS SENSOR NETWORKS

ENERGY-EFFICIENT SUB-CLUSTERING WITH OPTIMIZED PROCESSOR SPEED (ESOPS) IN WIRELESS SENSOR NETWORKS ENERGY-EFFICIENT SUB-CLUSTERING WITH OPTIMIZED PROCESSOR SPEED (ESOPS) IN WIRELESS SENSOR NETWORKS G.RAJESH, DR.B.VINAYGASUNDARAM ANNA UNIVERSITY, Department of Information Technology,M.I.T campus, Chennai,

More information

Comparative evaluation of limited preemptive methods

Comparative evaluation of limited preemptive methods Comparative evaluation of limited preemptive methods Gang Yao, Giorgio Buttazzo and Marko Bertogna Scuola Superiore Sant Anna, Pisa, Italy, {g.yao, g.buttazzo, m.bertogna}@sssup.it Abstract Schedulability

More information

Layered Decoding With A Early Stopping Criterion For LDPC Codes

Layered Decoding With A Early Stopping Criterion For LDPC Codes 2012 2 nd International Conference on Information Communication and Management (ICICM 2012) IPCSIT vol. 55 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V55.14 ayered Decoding With A Early

More information

New Optimal Load Allocation for Scheduling Divisible Data Grid Applications

New Optimal Load Allocation for Scheduling Divisible Data Grid Applications New Optimal Load Allocation for Scheduling Divisible Data Grid Applications M. Othman, M. Abdullah, H. Ibrahim, and S. Subramaniam Department of Communication Technology and Network, University Putra Malaysia,

More information

Energy-Efficient Dynamic Task Scheduling Algorithms for DVS Systems

Energy-Efficient Dynamic Task Scheduling Algorithms for DVS Systems Energy-Efficient Dynamic Task Scheduling Algorithms for DVS Systems JIANLI ZHUO and CHAITALI CHAKRABARTI Arizona State University Dynamic voltage scaling (DVS) is a well-known low power design technique

More information

Performance Improvement of Hardware-Based Packet Classification Algorithm

Performance Improvement of Hardware-Based Packet Classification Algorithm Performance Improvement of Hardware-Based Packet Classification Algorithm Yaw-Chung Chen 1, Pi-Chung Wang 2, Chun-Liang Lee 2, and Chia-Tai Chan 2 1 Department of Computer Science and Information Engineering,

More information

Methodology for Automatic Synthesis of Wargame Simulator using DEVS

Methodology for Automatic Synthesis of Wargame Simulator using DEVS Methodology for Automatic Synthesis of Wargame Simulator using DEVS Kim Ju Young, Shim Kwang Hyun ETRI kimjy1113@etri.re.kr, shimkh@etri.re.kr Abstract In specific domain such as wargame, simulator developers

More information

Revisiting Join Site Selection in Distributed Database Systems

Revisiting Join Site Selection in Distributed Database Systems Revisiting Join Site Selection in Distributed Database Systems Haiwei Ye 1, Brigitte Kerhervé 2, and Gregor v. Bochmann 3 1 Département d IRO, Université de Montréal, CP 6128 succ Centre-Ville, Montréal

More information

Real-Time Programming with GNAT: Specialised Kernels versus POSIX Threads

Real-Time Programming with GNAT: Specialised Kernels versus POSIX Threads Real-Time Programming with GNAT: Specialised Kernels versus POSIX Threads Juan A. de la Puente 1, José F. Ruiz 1, and Jesús M. González-Barahona 2, 1 Universidad Politécnica de Madrid 2 Universidad Carlos

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

DYNAMIC SCHEDULING OF SKIPPABLE PERIODIC TASKS WITH ENERGY EFFICIENCY IN WEAKLY HARD REAL-TIME SYSTEM

DYNAMIC SCHEDULING OF SKIPPABLE PERIODIC TASKS WITH ENERGY EFFICIENCY IN WEAKLY HARD REAL-TIME SYSTEM DYNAMIC SCHEDULING OF SKIPPABLE PERIODIC TASKS WITH ENERGY EFFICIENCY IN WEAKLY HARD REAL-TIME SYSTEM Santhi Baskaran 1 and P. Thambidurai 2 1 Department of Information Technology, Pondicherry Engineering

More information

Accuracy Enhancement by Selective Use of Branch History in Embedded Processor

Accuracy Enhancement by Selective Use of Branch History in Embedded Processor Accuracy Enhancement by Selective Use of Branch History in Embedded Processor Jong Wook Kwak 1, Seong Tae Jhang 2, and Chu Shik Jhon 1 1 Department of Electrical Engineering and Computer Science, Seoul

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Online Optimization of VM Deployment in IaaS Cloud

Online Optimization of VM Deployment in IaaS Cloud Online Optimization of VM Deployment in IaaS Cloud Pei Fan, Zhenbang Chen, Ji Wang School of Computer Science National University of Defense Technology Changsha, 4173, P.R.China {peifan,zbchen}@nudt.edu.cn,

More information

Designing a New Real-Time Kernel with a Hybrid Scheduler

Designing a New Real-Time Kernel with a Hybrid Scheduler The 2008 International Conference on Embedded Software and Systems (ICESS2008) Designing a New Real-Time Kernel with a Hybrid Scheduler Qiang Huang, XiaoFeng Liang, WeiHua Xu College of Information (Software)

More information

Collaborative Operating System and Compiler Power Management for Real-Time Applications

Collaborative Operating System and Compiler Power Management for Real-Time Applications Collaborative Operating System and Compiler Power Management for Real-Time Applications NEVINE ABOUGHAZALEH, DANIEL MOSSÉ, BRUCE R. CHILDERS, and RAMI MELHEM University of Pittsburgh Managing energy consumption

More information

Gianluca Palermo Sapienza - Università di Roma. Paolo Gaudenzi Sapienza - Università di Roma

Gianluca Palermo Sapienza - Università di Roma. Paolo Gaudenzi Sapienza - Università di Roma Optimization of satellite s onboard data processing workload and related system resources, by offloading specific CPUintensive tasks onto external computational nodes Gianluca Palermo Sapienza - Università

More information

CONTENT ADAPTIVE SCREEN IMAGE SCALING

CONTENT ADAPTIVE SCREEN IMAGE SCALING CONTENT ADAPTIVE SCREEN IMAGE SCALING Yao Zhai (*), Qifei Wang, Yan Lu, Shipeng Li University of Science and Technology of China, Hefei, Anhui, 37, China Microsoft Research, Beijing, 8, China ABSTRACT

More information

An Optimal Design Method for De-synchronous Circuit Based on Control Graph

An Optimal Design Method for De-synchronous Circuit Based on Control Graph An Optimal Design Method for De-synchronous Circuit Based on Control Graph Gang Jin, Lei Wang, Zhiying Wang, and Kui Dai School of Computer Science,National University of Defense Technology, Changsha,

More information

Deduction and Logic Implementation of the Fractal Scan Algorithm

Deduction and Logic Implementation of the Fractal Scan Algorithm Deduction and Logic Implementation of the Fractal Scan Algorithm Zhangjin Chen, Feng Ran, Zheming Jin Microelectronic R&D center, Shanghai University Shanghai, China and Meihua Xu School of Mechatronical

More information

Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances

Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances Performance Assessment of DMOEA-DD with CEC 2009 MOEA Competition Test Instances Minzhong Liu, Xiufen Zou, Yu Chen, Zhijian Wu Abstract In this paper, the DMOEA-DD, which is an improvement of DMOEA[1,

More information

A Multi-Modal Composability Framework for Cyber-Physical Systems

A Multi-Modal Composability Framework for Cyber-Physical Systems S5 Symposium June 12, 2012 A Multi-Modal Composability Framework for Cyber-Physical Systems Linh Thi Xuan Phan Insup Lee PRECISE Center University of Pennsylvania Avionics, Automotive Medical Devices Cyber-physical

More information

Collaborative Operating System and Compiler Power Management for Real-Time Applications

Collaborative Operating System and Compiler Power Management for Real-Time Applications Collaborative Operating System and Compiler Power Management for Real-Time Applications Nevine AbouGhazaleh, Daniel Mossé, Bruce R. Childers, and Rami Melhem Managing energy consumption has become vitally

More information

Effects of Hard Real-Time Constraints in Implementing the Myopic Scheduling Algorithm

Effects of Hard Real-Time Constraints in Implementing the Myopic Scheduling Algorithm Effects of Hard Real-Time Constraints in Implementing the Myopic Scheduling Algorithm Abstract- Institute of Information Technology, University of Dhaka, Dhaka 1 muheymin@yahoo.com, K M. Sakib, M S. Hasan

More information

More on Conjunctive Selection Condition and Branch Prediction

More on Conjunctive Selection Condition and Branch Prediction More on Conjunctive Selection Condition and Branch Prediction CS764 Class Project - Fall Jichuan Chang and Nikhil Gupta {chang,nikhil}@cs.wisc.edu Abstract Traditionally, database applications have focused

More information

COOCHING: Cooperative Prefetching Strategy for P2P Video-on-Demand System

COOCHING: Cooperative Prefetching Strategy for P2P Video-on-Demand System COOCHING: Cooperative Prefetching Strategy for P2P Video-on-Demand System Ubaid Abbasi and Toufik Ahmed CNRS abri ab. University of Bordeaux 1 351 Cours de la ibération, Talence Cedex 33405 France {abbasi,

More information

Video shot segmentation using late fusion technique

Video shot segmentation using late fusion technique Video shot segmentation using late fusion technique by C. Krishna Mohan, N. Dhananjaya, B.Yegnanarayana in Proc. Seventh International Conference on Machine Learning and Applications, 2008, San Diego,

More information

Fast and Effective Interpolation Using Median Filter

Fast and Effective Interpolation Using Median Filter Fast and Effective Interpolation Using Median Filter Jian Zhang 1, *, Siwei Ma 2, Yongbing Zhang 1, and Debin Zhao 1 1 Department of Computer Science, Harbin Institute of Technology, Harbin 150001, P.R.

More information

Communication Networks I December 4, 2001 Agenda Graph theory notation Trees Shortest path algorithms Distributed, asynchronous algorithms Page 1

Communication Networks I December 4, 2001 Agenda Graph theory notation Trees Shortest path algorithms Distributed, asynchronous algorithms Page 1 Communication Networks I December, Agenda Graph theory notation Trees Shortest path algorithms Distributed, asynchronous algorithms Page Communication Networks I December, Notation G = (V,E) denotes a

More information

Fingerprint Ridge Distance Estimation: Algorithms and the Performance*

Fingerprint Ridge Distance Estimation: Algorithms and the Performance* Fingerprint Ridge Distance Estimation: Algorithms and the Performance* Xiaosi Zhan, Zhaocai Sun, Yilong Yin, and Yayun Chu Computer Department, Fuyan Normal College, 3603, Fuyang, China xiaoszhan@63.net,

More information

RWA on Scheduled Lightpath Demands in WDM Optical Transport Networks with Time Disjoint Paths

RWA on Scheduled Lightpath Demands in WDM Optical Transport Networks with Time Disjoint Paths RWA on Scheduled Lightpath Demands in WDM Optical Transport Networks with Time Disjoint Paths Hyun Gi Ahn, Tae-Jin Lee, Min Young Chung, and Hyunseung Choo Lambda Networking Center School of Information

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

Energy-Aware MPEG-4 4 FGS Streaming

Energy-Aware MPEG-4 4 FGS Streaming Energy-Aware MPEG-4 4 FGS Streaming Kihwan Choi and Massoud Pedram University of Southern California Kwanho Kim Seoul National University Outline! Wireless video streaming! Scalable video coding " MPEG-2

More information

Utilizing Concurrency: A New Theory for Memory Wall

Utilizing Concurrency: A New Theory for Memory Wall Utilizing Concurrency: A New Theory for Memory Wall Xian-He Sun (&) and Yu-Hang Liu Illinois Institute of Technology, Chicago, USA {sun,yuhang.liu}@iit.edu Abstract. In addition to locality, data access

More information

A priority based dynamic bandwidth scheduling in SDN networks 1

A priority based dynamic bandwidth scheduling in SDN networks 1 Acta Technica 62 No. 2A/2017, 445 454 c 2017 Institute of Thermomechanics CAS, v.v.i. A priority based dynamic bandwidth scheduling in SDN networks 1 Zun Wang 2 Abstract. In order to solve the problems

More information

International Journal of Advance Research in Computer Science and Management Studies

International Journal of Advance Research in Computer Science and Management Studies Volume 2, Issue 12, December 2014 ISSN: 2321 7782 (Online) International Journal of Advance Research in Computer Science and Management Studies Research Article / Survey Paper / Case Study Available online

More information

Flexible Time-Triggered Sampling in Smart Sensor-Based Wireless Control Systems

Flexible Time-Triggered Sampling in Smart Sensor-Based Wireless Control Systems Published in: Sensors, vol.7, no., pp. 548-564, 7. Open Access at http://www.mdpi.org/sensors/papers/s7548.pdf Flexible Time-Triggered Sampling in Smart Sensor-Based Wireless Control Systems Feng Xia,

More information

Control Performance-Aware System Level Design

Control Performance-Aware System Level Design Control Performance-Aware System Level Design Nina Mühleis, Michael Glaß, and Jürgen Teich Hardware/Software Co-Design, University of Erlangen-Nuremberg Email: {nina.muehleis, glass, teich}@cs.fau.de Abstract

More information

A True Random Number Generator Based On Meta-stable State Lingyan Fan 1, Yongping Long 1, Jianjun Luo 1a), Liangliang Zhu 1 Hailuan Liu 2

A True Random Number Generator Based On Meta-stable State Lingyan Fan 1, Yongping Long 1, Jianjun Luo 1a), Liangliang Zhu 1 Hailuan Liu 2 This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Epress, Vol.* No.*,*-* A True Random Number Generator Based On Meta-stable

More information

2. REVIEW OF RELATED RESEARCH WORK. 2.1 Methods of Data Aggregation

2. REVIEW OF RELATED RESEARCH WORK. 2.1 Methods of Data Aggregation ata Aggregation in Wireless Sensor Networks with Minimum elay and Minimum Use of Energy: A comparative Study Bushra Qayyum Mohammed Saeed Jason Roberts Ph Student ean of Research Senior Lecturer University

More information

A SIMPLE APPROXIMATION ALGORITHM FOR NONOVERLAPPING LOCAL ALIGNMENTS (WEIGHTED INDEPENDENT SETS OF AXIS PARALLEL RECTANGLES)

A SIMPLE APPROXIMATION ALGORITHM FOR NONOVERLAPPING LOCAL ALIGNMENTS (WEIGHTED INDEPENDENT SETS OF AXIS PARALLEL RECTANGLES) Chapter 1 A SIMPLE APPROXIMATION ALGORITHM FOR NONOVERLAPPING LOCAL ALIGNMENTS (WEIGHTED INDEPENDENT SETS OF AXIS PARALLEL RECTANGLES) Piotr Berman Department of Computer Science & Engineering Pennsylvania

More information

Mining High Average-Utility Itemsets

Mining High Average-Utility Itemsets Proceedings of the 2009 IEEE International Conference on Systems, Man, and Cybernetics San Antonio, TX, USA - October 2009 Mining High Itemsets Tzung-Pei Hong Dept of Computer Science and Information Engineering

More information

ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS

ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS Santhi Baskaran 1 and P. Thambidurai 2 1 Department of Information Technology, Pondicherry Engineering

More information

Performance Evaluations for Parallel Image Filter on Multi - Core Computer using Java Threads

Performance Evaluations for Parallel Image Filter on Multi - Core Computer using Java Threads Performance Evaluations for Parallel Image Filter on Multi - Core Computer using Java s Devrim Akgün Computer Engineering of Technology Faculty, Duzce University, Duzce,Turkey ABSTRACT Developing multi

More information

An Edge-Based Approach to Motion Detection*

An Edge-Based Approach to Motion Detection* An Edge-Based Approach to Motion Detection* Angel D. Sappa and Fadi Dornaika Computer Vison Center Edifici O Campus UAB 08193 Barcelona, Spain {sappa, dornaika}@cvc.uab.es Abstract. This paper presents

More information

Parallel Processing of Multimedia Data in a Heterogeneous Computing Environment

Parallel Processing of Multimedia Data in a Heterogeneous Computing Environment Parallel Processing of Multimedia Data in a Heterogeneous Computing Environment Heegon Kim, Sungju Lee, Yongwha Chung, Daihee Park, and Taewoong Jeon Dept. of Computer and Information Science, Korea University,

More information

Energy Conservation In Computational Grids

Energy Conservation In Computational Grids Energy Conservation In Computational Grids Monika Yadav 1 and Sudheer Katta 2 and M. R. Bhujade 3 1 Department of Computer Science and Engineering, IIT Bombay monika@cse.iitb.ac.in 2 Department of Electrical

More information

On-chip temperature-based digital signal processing for customized wireless microcontroller

On-chip temperature-based digital signal processing for customized wireless microcontroller On-chip temperature-based digital signal processing for customized wireless microcontroller Siti Farhah Razanah Faezal 1, *, Mohd Nazrin Md Isa 1, Azizi Harun 1, Shaiful Nizam Mohyar 1, and Asral Bahari

More information

Support Vector Regression for Software Reliability Growth Modeling and Prediction

Support Vector Regression for Software Reliability Growth Modeling and Prediction Support Vector Regression for Software Reliability Growth Modeling and Prediction 925 Fei Xing 1 and Ping Guo 2 1 Department of Computer Science Beijing Normal University, Beijing 100875, China xsoar@163.com

More information

Ranking Web Pages by Associating Keywords with Locations

Ranking Web Pages by Associating Keywords with Locations Ranking Web Pages by Associating Keywords with Locations Peiquan Jin, Xiaoxiang Zhang, Qingqing Zhang, Sheng Lin, and Lihua Yue University of Science and Technology of China, 230027, Hefei, China jpq@ustc.edu.cn

More information

Optimization for Real-Time Systems with Non-convex Power versus Speed Models

Optimization for Real-Time Systems with Non-convex Power versus Speed Models Optimization for Real-Time Systems with Non-convex Power versus Speed Models Ani Nahapetian, Foad Dabiri, Miodrag Potkonjak, Majid Sarrafzadeh Computer Science Department, University of Calfornia Los Angeles

More information

FROM TIME-TRIGGERED TO TIME-DETERMINISTIC REAL-TIME SYSTEMS

FROM TIME-TRIGGERED TO TIME-DETERMINISTIC REAL-TIME SYSTEMS FROM TIME-TRIGGERED TO TIME-DETERMINISTIC REAL-TIME SYSTEMS Peter Puschner and Raimund Kirner Vienna University of Technology, A-1040 Vienna, Austria {peter, raimund}@vmars.tuwien.ac.at Abstract Keywords:

More information

An Improvement of the Occlusion Detection Performance in Sequential Images Using Optical Flow

An Improvement of the Occlusion Detection Performance in Sequential Images Using Optical Flow , pp.247-251 http://dx.doi.org/10.14257/astl.2015.99.58 An Improvement of the Occlusion Detection Performance in Sequential Images Using Optical Flow Jin Woo Choi 1, Jae Seoung Kim 2, Taeg Kuen Whangbo

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information