UML-Based Analysis of Power Consumption for Real-Time Embedded Systems

Size: px
Start display at page:

Download "UML-Based Analysis of Power Consumption for Real-Time Embedded Systems"

Transcription

1 2011 International Joint Conference of IEEE TrustCom-11/IEEE ICESS-11/FCST-11 UML-Based Analysis of Power Consumption for Real-Time Embedded Systems Matthias Hagner, Adina Aniculaesei, and Ursula Goltz Institute for Programming and Reactive Systems, TU Braunschweig Braunschweig, Germany (hagner, Abstract The complexity of embedded systems has risen significantly in the last years. The model based development approach helped to keep an overview over the development and over the fulfillment of non-functional properties, as it is possible to capture and analyze the scheduling using UML development models. Other aspects, e.g. the power consumption, are not considered in development models, modelling languages, and analysis support based on development models. The common approach is to measure the consumption at the end of the development, but there is no tool support for earlier phases analysis. We present a UML profile for power/energy consumption and a simple algorithm to analyze the power consumption based on an UML model extended with our profile. As more power awareness could result in losing real-time constraints, we consider both aspects, real-time scheduling and power awareness, and present a method to bring both non-functional properties and their analyses in context. Additionally, we present an approach to find a task configuration for a dynamic voltage scaling system that satisfies all real-time requirements, but is most power efficient. Keywords-power aware; real-time; model based; UML; Dynamic Voltage Scaling; scheduling I. Introduction To handle the complexity and fulfill the sometimes safety critical requirements, the model based development approach has been widely appreciated. The UML [1] has been established as one of the most popular modeling languages. Using extensions, e.g. SysML [2] or the UML profile MARTE (Modeling and Analysis of Real-Time and Embedded Systems) [3], the UML can be adapted to the needs of embedded systems. Especially MARTE contains a large number of possibilities to add timing and scheduling aspects to a UML model. Special views on certain non-functional aspects, as parts of the UML development models, help to keep track of the requirements and to concentrate on these aspects. For scheduling analysis, the UML Scheduling Analysis View (SAV) ([4], [5]) was created. The SAV is based on MARTE profile elements and adds guidelines how certain scheduling aspects have to be described. Additionally, the SAV can be used as an input for scheduling analysis tools. In [6] an implementation is presented, where the developer does not need to see the scheduling analysis tool SymTA/S [7] to perform an analysis based on the SAV. There is no according modeling or tool support for energy or power consumption. MARTE has a dedicated package called HWPower, which enables the modeling of advanced power analysis and autonomy optimization but is not sufficient to add all necessary parameters to the UML development model. [8] gave an extension for modelling a system-wide dynamic power management in embedded systems using state machines to define the component power characteristics. [9] extended MARTE to model energy harvesting for wireless sensor networks. A SysML extension of UML for systems modeling and engineering is presented in [10]. Still, these extensions are not sufficient for our approach as they deal with different aspect (e.g. wireless communication). Power is one of the important metrics for optimization in the design and operation of embedded systems. One way to reduce power consumption in embedded computing systems is processor slowdown using frequency or voltage. Scaling the frequency and voltage of a processor leads to an increase in the execution time of a task. In real-time systems, we want to minimize energy while adhering to the deadlines of the tasks. Dynamic voltage scaling (DVS) techniques exploit the idle time of the processor to reduce the energy consumption of a system. Depending on the granularity of the voltage scaling, there are intra-task DVS ([11], [12]) and inter-task DVS [13]. The intra-task DVS algorithms adjust the voltage within an individual task boundary, whereas the intertask DVS algorithms determine the supply voltage on a task-by-task basis, at each scheduling point. Additionally, there are online and offline DVS scheduling algorithms. Offline DVS scheduling algorithms, know beforehand the task parameters, such as arrival time, deadline, worst case execution time ([14], [15]). Online DVS scheduling algorithms do not have this information during execution, but receive it on a task-by-task basis, once the task arrived in the system and is ready to run ([16]). In this paper, we consider offline inter-task DVS. We defined the Power Consumption Analysis View /11 $ IEEE DOI /TrustCom

2 (PCAV), according to the Scheduling Analysis View, to give the developer the possibility to add energy and power consumption relevant parameters to the UML model (Section III). Therefore, we created the PCAV profile as an extension of the MARTE profile and an automatic analysis algorithm for a system described with the PCAV profile (Section IV). Additionally, we implemented an algorithm to find a most power aware, but still real-time schedulable system configuration for a DVS system (Section V). II. Concept One problem concerning real-time and power aware systems is that both aspects are orthogonal. A solution to solve a real-time problem is to use faster hardware. The drawback of this approach is that more energy is necessary. The same happens with DVS systems. Using a higher frequency results in higher power consumption. To lower the power consumption of a system is possible by using more energy saving hardware. Most times, this hardware operates slower and consequently it is possible, that real-time requirements are not met. The aim must be to find a good compromise between realtime and power consumption. In other words, a system that satisfies all real-time requirements, but is most power efficient. Additionally, these aspects are also very important at an early development stage. A wrong design decision at an early stage could result in high costs. Since at this point no implementation is available, it is difficult to find the necessary parameters for a proper analysis. In later phases, measurement etc. are possible. There are some approaches using budgets or estimated values. Therefore, with our approach, it is possible to do these analyses based on UML development models using estimated values (e.g. for the worst case execution cycles). We created a Power Consumption Analysis View (PCAV) based on the MARTE profile and oriented on the Scheduling Analysis View (SAV). The PCAV is used as a UML description of the analysis context, as a basis for a power consumption analysis, and consequently as a bridge between a UML design model and an embedded analysis for power consumption. It is a first approach for integration of power consumption analysis in an UML based development process. For a successful application, both views (the PCAV and the SAV) have to be used in parallel. The PCAV is needed to find execution times of tasks based on the required execution cycles of the task and the CPU speed. This has to be done for all tasks. After transferring the execution times to the SAV, a scheduling analysis can be done. If the analysis result is positive (all deadlines are met), the developer can step back to the PCAV, choose a slower, but more energy-saving CPU, calculate the execution times again and find out if it is still schedulable using the SAV. We assume, that a task is always running in the same configuration. But it is possible that different tasks can run in different configurations. One configuration is set for all tasks before execution. We do not consider the changing of the power configuration during runtime (offline inter-dvs). III. Power Consumption Analysis View Profile The UML Power Consumption Analysis View (PCAV) profile is aimed at modeling real-time and embedded systems on which a fixed set of tasks is executed. The goal of the PCAV profile is to provide the necessary modeling elements to analyse the power consumption of a system. PCAV is based on the Scheduling Analysis View (SAV), defined in [5]. The SAV is based on MARTE UML profile. However, MARTE does not provide the necessary elements to model real-time embedded systems which are subject to a power analysis process. The new profile is based on MARTE Fondations package which defines the elements needed for computing the energy consumption for one complete execution of a real-time task, as well as the power consumption of the entire system. These elements are organized in four subprofiles, which define UML stereotypes corresponding to each component considered in a real-time system model: PCAVResources: elements for modeling processing resources and their hardware configurations. PCAVWorkload: the parameters of a real-time task, executed in an embedded real-time system. PCAVConsumers: peripheral devices, such as displays or flash drives. PCAVPower: the power supply subsystem of the real-time embedded system under consideration. The PCAVResources Profile models execution hosts and their corresponding power configurations. The profile defines the «pcaexechost» stereotype for the representation of system processors, and the corresponding hardware parameters: switchcap is the average switching capacitance of the execution host per clock cycle. powerconsumption specifies the power consumed by the processor while executing a given set of tasks. configuration represents a list of power configurations specific for each hardware platform. A power configuration is a pair containing a supply voltage and the corresponding operating frequency. leakagepowerconsumption the minimum processor power consumption independently if tasks are running on it or not. Every real-time DVS processor supports a set of power configurations, which allow the CPU to modify dynamically its supply voltage and consequently its operating 1197

3 frequency. This can be done either in a continuous manner or using discrete values. In the first situation, there is an interval defined [V dd,min,v dd,max ], in which the supply voltage may constantly vary. Other processors however, such as the one used in this paper, support discrete levels of supply voltage and, thus, of operating frequencies. The stereotype «pcaexechostconfig» models CPU power configurations, in which to each discrete supply voltage corresponds a unique clock speed. This CPU frequency is the maximum operating frequency in that supply voltage. The parameters voltage and frequency are specified in the «pcafreqvoltagefunction» to illustrate the one-to-one relation between the two attributes. Every power configuration contains a frequency-voltage pair through the stereotype property freqvoltagetuple and a corresponding energy consumption per clock cycle, expressed by the attribute energylevel. The PCAVWorkload profile provides the elements needed to describe hard real-time tasks running on an embedded real-time system, using the stereotype «pcaexecstep». The task model adopted in this paper assumes that the tasks are preemptable and periodic with equal or different task periods. The specific parameters for a real-time task modeled with PCAV are the following: period :thetaskperiod. wcec : the worst case execution cycles needed by the execution host to run the current task. wcet : the worst case execution time of the task. energyperexec : the processor energy consumption during the complete execution of this task. The energy consumption of a task during its complete execution is the energy consumed along the number of processor cycles needed to run the task. The number of worst case execution cycles for a task is also used in computing the worst case execution time for the task. In addition to this, the worst case execution time depends on the operating processor frequency, and since this is variable, the task execution time varies accordingly. The PCAVConsumers profile is used for modeling peripheral components in real-time embedded systems, i.e. LCD display, network card, or flash drive. Such components have a certain amount of power consumption, but the method used to compute is not considered here. Therefore, for peripheral components, power consumption is specified as the simple tag definition powerconsumption in the stereotype «pcapowerconsumer». The PCAVPower profile is used to model the power supply sub-system of a real-time embedded system. The power supply may be available through a simple battery for mobile devices, or through a complex system of battery cells used in failure-tolerant systems. The specific parameters for a battery are: capacity, which accounts for the amount of energy, which the power supply component can hold. voltage, represents voltage provided by this power supply component during system run time. duration, specifies the time interval, in which the system is expected to run without compromising quality of service, under current workload and with the current power configurations. The stereotype classes and the tag definitions defined in PCAV are summarized in Table I. Additionally to the PCAV elements, the table contains the stereotypes «schedulableresource» and «allocated». The first one depicts processes or threads in real-time embedded systems which in turn execute one or several tasks each. The latter is applied for associations which are used to allocate resources, i.e. threads, onto processing hosts, i.e. processors. Both are imported from the MARTE profile. Stereotype used on Tagged Values «pcaexechost» Classes switchcap, configuration, power- Consumption, leakagepower- Consumption «pcaexechostconfig» Classes energylevel, freq- VoltageTuple «pcafreqvoltagefunction» Classes frequency, voltage «pcapowerconsumer» Classes powerconsumption «pcapowersupply» Classes capacity, voltage, duration «schedulableresource» Classes «pcaexecstep» Methods period, wcec, wcet, energyperexec «allocated» Associations Table I Elements of the Power Consumption Analysis View. Further, Figure 1 displays an example of the PCAV based on two processors (CPU and CPU2 ), a mobile power supply (Battery), and a simple display (Display). The CPU model has a leakage power of P l =1.2W and runs with an average switching capacitance of C switch = 0.28nF. The processors allocate six tasks (three on CPU and three on CPU2 ), which are executed with the current operating frequency of 60MHz at a supply voltage of 6V, given by the processor configuration Conf, and its corresponding frequency-voltage pair, FVTuple. To keep it simple, we only show the parameters of one task, which has a period of T 1 =13ms and the number of execution cycles is wcec 1 = The power consumption of the display is set at P other =1W, while the battery capacity and the battery voltage are C battery =8Ah and respectively V battery =5V. Figure 1 also illustrates how the tag definitions are modeled graphically and how, once the respective vari- 1198

4 period=[13,ms] wcet=[$r4,ms] wcec=[976*10^2,cycles] energyperexec=[$r11,nj] switchcap=[0.28,nf] con guration="conf" powerconsumption=[$r2,w] leakagepowerconsumption=[1.2,w] <<schedulableresource>> SchedResource <<pcaexecstep>> task1() <<pcaexecstep>> task2() <<pcaexecstep>> task3() <<pcaexechost>> CPU <<pcapowerconsumer>> Display <<pcapowersupply>> Battery powerconsumption=[1,w] capacity=[8,ah] voltage=[5,v] duration=[$r5,h] <<schedulableresource>> SchedResource2 <<pcaexecstep>> task4() <<pcaexecstep>> task5() <<pcaexecstep>> task6() <<pcaexechost>> CPU2 frequencyvoltagetuple="fvtuple" energylevel=[10.08,nj] <<pcaexechostcon g>> Conf frequency=[60,mhz] voltage=[6,v] <<pcafreqvoltagefunction>> FVTuple Figure 1. An example of a Power Consumption Analysis View ables are computed, these definitions become tagged values. The tagged values of the modeled system elements are expressed as tuples, tag =[value, unit] andthose values which are computed during the analysis process itself are marked as variables, tag =[$r i,unit]. IV. The Power Consumption Analysis We have implemented a simple analysis algorithm for calculating the power consumption of an embedded system. The analysis is based on the PCAV and the algorithm uses the view, modeled in the UML case tool Papyrus for UML ( directly as an input for the analysis. After the analysis, the results are published back to the the PCAV in Papyrus using e.g. the duration or powerconsumption tagged values. For the power consumption analysis of a system the power consumption of each task is calculated to find out the power consumption of a CPU. Furthermore, the system under consideration contains also other resources than processors which also contribute to the overall system power consumption. For these components we assume a fixed value, represented by P other. One goal of the analysis, besides finding the power consumption, is to determine how long the system can run under the current workload and using the same battery. More details can be found in [17]. The processor has two predefined hardware parameters: average switching capacitance C switch and leakage power consumption P l and supports several power configurations. To each of these configurations corresponds a pair of discrete supply voltages and CPU frequencies. The one-to-one relation between CPU speed and its supply voltage can be modeled with PCAV through the «pcafreqvoltagefunction» stereotype. The stereotype defines a pair of the two attributes voltage and frequency, in which, given one parameter, the other can be calculated. The first computation performed in the power consumption analysis is the energy consumption correspondent to each processor power configuration. The calculation of the energy consumption levels is done using [18]: E = n C switch Vdd 2 (1) where n denotes the number of clock cycles, C switch represents the average switching capacitance, and V dd is the supply voltage. The energy consumption corresponding to a processor configuration is computed using the average switching capacitance of the CPU, C switch, given per clock cycle, and the processor supply voltage, V dd. These parameters are modeled in the tagged values switchcap of the stereotype «pcaexechost» and respectively voltage from «pcafreqvoltagefunction». The equation for the configuration energy consumption can be derived from Equation 1 by division by the number of execution cycles n: E Confi = C switch Vdd 2 (2) The task execution energy consumption E τi for task τ i is computed throughout the number of clock cycles wcec i needed to execute the corrsponding task. The number of task execution cycles is modeled through the wcec tag defined in the stereotype «pcaexecstep». E τi = wcec i E Confj (3) The processor power consumption is computed for the execution of the entire task set. It is sufficient to sum up the power consumption of all tasks in relation to their period to calculate the execution power consumption of the CPU: m E τi P exec = T i=1 i (4) with T i being the period of τ i and m being the number of running tasks on the system processor. The leakage power P l represents the minimum processor power consumption independently if tasks are running on it or not. The leakage power of the processor are introduced in PCAV through their respective tagged value leakagepowerconsumption in stereotype «pcaexechost». To find out the power consumption of a CPU, the following equation is used: P cpu = P exec + P l (5) 1199

5 The overall power consumption for a given system (P system ) is computed using the following equation: P system = P other + cpu P cpu (6) where P other is the power consumption of all other peripheral devices and the power consumption of all CPUs is added. The result of this computation is used to compute battery duration of the modeled system. Besides finding the power consumption, it is also significant for systems engineers to know how long a mobile embedded system is able to run under the given workload without renewing or recharging the power supply. The answer to this question is found by computing the battery duration for the system subject to the power analysis process. Battery duration is computed using the battery capacity C battery and the battery voltage V battery as parameters for the Equation 7: t duration battery = C battery V battery (7) P system These parameters are specified in the stereotype «pcapowersupply» through the tagged values: capacity and voltage. The result of the computation is stored in this stereotype, using the tagged value duration. The worst case execution time of a given real-time task τ i is computed using Equation 8: c i = wcec i (8) f j where j {1,,k}, withk the number of supported operating frequencies, and i {1,,m}, withm the number of real-time tasks running on the processor. The parameters wcec i and f j are modeled through the tagged values wcec of the «pcaexecstep» stereotype and frequency from the «pcaexechost» stereotype. The result is stored in the wcet tagged value defined in the «pcaexecstep» stereotype and can later be used for a scheduling analysis. V. Finding an Energy Efficient and Real-Time TaskConfiguration for a DVS System We are demonstrating the realization of our approach on a DVS system by finding a configuration that is power aware but still real-time (i.e. energy efficient and considers task and task chain deadlines.). As we have implemented this workflow, it is done completely automatic and the algorithm will find the optimal configuration, if it exists. A task configuration describes the usual task parameters, like deadline, priority, worst case execution cycles etc., and, additionally, the frequency with which the task will be executed. That means, that under every situation the task will be executed with the defined frequency. We assume a dynamic system. Consequently, we cannot define a complete static schedule, as we only know a certain period when a task might be executed (including jitter). Therefore, we are using the SAV and a scheduling analysis tool, SymTA/S, to examine if the system can be scheduled and deadlines are met. We consider the following system description: We use two CPUs with the following modes: {(10 MHz, 1 V),(40 MHz, 4 V)(60 MHz, 6 V)}. We consider the following tasks running on the CPUs ( τ i =(deadline D i in ms, priority p i, worst case execution cycles wcec i,period T i in ms)). There are no dependencies between these tasks. The task with the lowest number as a period is preferred: τ 1 =(13, 3, , 15) scheduled on CPU τ 2 =(9, 4, , 10) scheduled on CPU τ 3 =(26, 1, , 30) scheduled on CPU τ 4 =(16, 3, , 20) scheduled on CPU2 τ 5 =(6, 2, , 8) scheduled on CPU2 τ 6 =(3, 1, , 5) scheduled on CPU2 The PCAV (depicted in Figure 1) and the corresponding SAV are annotated with the necessary values. That means all the necessary parameters are specified which are used for the analysis. This includes a description of the tasks and the resource, but also the mapping between these components (see Section III). The only parameters left in the SAV are the execution times, as they depend on the CPU mode, in which the task will be executed. After creating a PCAV and a corresponding SAV, a first configuration is created. We assume, that the worst case response cycles are examined for each task. This is done by choosing one mode the «allocated» CPU offers. Consequently, every task has its mode. To find a power aware solution, the algorithm starts using the most power aware, the slowest, mode for all tasks. As before that point it was not defined with which frequency the CPU would run the tasks, there are no execution times given for the tasks. After defining the frequency, the worst case execution times for tasks can be calculated based on the worst case execution cycles and the frequencies. These calculated times are set to the wcet tagged value of the «pcaexecstep» stereotype. The values are c 1 = 9.76ms, c 2 = 5.82ms, c 3 = 29.82ms, c 3 =9.7ms, c 5 =14.7ms, and c 6 =4.7ms. Besides this, the energy consumption per task execution is calculated. In our example, using the slowest frequency mode, τ 1 has a energy consumption E 1 =0.027mJ, accordingly E 2 =0.016mJ, E 3 =0.083mJ,... After calculating the worst case execution times, the execution time values are transferred to the SAV. All necessary parameters are set and we can use the transformation described in [6] to put the system in the format of SymTA/S, analyze it, and get the results again into the SAV. If the result of the scheduling analysis is that no deadline is missed, this is a valid configuration (in terms of scheduling) and can be further progressed 1200

6 with determining the energy consumption. If only one deadline is missed, this configuration can be dismissed and the algorithm starts again with a new configuration, where at least one task has to be executed in a faster mode. In the worst case, the algorithm has to try every configuration. And even in this situation it is possible that the system is not schedulable. In our example, the deadline of Task τ 3 is missed, as its execution time is R 3 =29.82ms. Consequently, a new configuration has to be created in the PCAV. After testing some configurations, the configuration E Conf17 is found: τ 3 is scheduled with 60 MHz, all other tasks are executed with 40 MHz. This configuration satisfy the real-time requirements and the minimum power constraint. If the configuration is valid in terms of scheduling (no deadlines are missed) it can be a possible configuration for the system. The energy consumption of this configuration is calculated using the formulas in Section IV. In our example, the total power consumption (also considering leakage power and the display) for the configuration E Conf1 where all tasks are executed using the slowest CPU frequency mode, the total power consumption is P system = W. But as this configuration is not real-time, we have to dismiss it. The configuration E Conf17, which is real-time, has a total power consumption P system =3.6814W. VI. Conclusion and Future Work We have presented a UML profile, the Power Consumption Analysis View Profile, for annotating energy/power consumption relevant parameters to a UML development model. It is based on the MARTE Foundations. The Power Consumption Analysis View, which is a UML model annotated with the presented profile, enables the possibility to integrate energy aspects to a UML based development process and can be used as a basis for power consumption analysis. We created a simple analysis algorithm for an automatic analysis. Additionally, as power consumption and scheduling aspects are not independent, we implemented an algorithm for DVS systems, to find a combination that is real-time, but most power aware. Future work can be done by optimizing the search for a schedulable configuration and the integration of better power consumption analysis. References [1] OMG Object Management Group, Unified modeling language specification, [2], Systems Modeling Language (SysML), [3], UML Profile for Modeling and Analysis of Realtime and Embedded Systems (MARTE), [4] M. Hagner and M. Huhn, Modellierung und analyse von zeitanforderungen basierend auf der uml, in Workshop, ser. LNI, H. Koschke, Ed., vol. 110, 2007, pp [5], Tool support for a scheduling analysis view, in Design, Automation and Test in Europe (DATE 08), [6] M. Hagner and U. Goltz, Integration of scheduling analysis into uml based development processes through model transformation, in 5thInternational Workshop on Real Time Software (RTS 10) at IMCSIT 10, [7] R. Henia, A. Hamann, M. Jersak, R. Racu, K. Richter, and R. Ernst, System level performance analysis - the SymTA/S approach, IEEE Proc. Computers and Digital Techniques, vol. 152, no. 2, pp , March [8] T. Arpinen, E. Salminen, T. D. Hännikäinen, and M. Hännikäinen, Marte profile extension for modeling dynamic power management of embedded systems, Journal of Systems Architecture, In Press, Corrected Proof, [9] I. Argyris, M. Mura, and M. Prevostini, Using marte for designing power supply section of wsns, in M-BED 2010: Proc. of the 1st Workshop on Model Based Engineering for Embedded Systems Design (a DATE 2010 Workshop), Germany, [10] E. Andrade, P. Maciel, G. Callou, and B. Nogueira, A methodology for mapping sysml activity diagram to time petri net for requirement validation of embedded realtime systems with energy constraints, Proc. of the 3rd International Conference on Digital Society, [11] D. Shin and J. Kim, Intra-task voltage scheduling on dvs-enabled hard real-time systems, IEEE Trans. on Computer-aided Design of Integrated Circuits and Systems, [12] B. Walsh, R. Van Engelen, K. Gallivan, J. Birch, and Y. Shou, Parametric intra-task dynamic voltage scheduling, Proc. of COLP 2003, [13] H. Aydin, R. Melhem, D. Mossé, and P. Mejía-Alvarez, Power-aware scheduling for periodic real-time tasks, IEEE Trans. Comput., pp , [14] T. Ishihara and H. Yasuura, Voltage scheduling problem for dynamically variable voltage processors, Proc. of the 1998 International Symposium on Low Power Electronics and Design (ISLPED 98), pp , [15] F. Yao, A. Demers, and S. Shenker, A scheduling model for reduced cpu energy, 1995, Proc. of the 36th Annual Symposium on Foundations of Computer Science. [16] J. Pouwelse, K. Langendoen, and H. Sips, Energy priority scheduling for variable voltage processors, Proc. of the 2001 International Symposium on Low Power Electronics and Design (ISLPED 01), pp , [17] A. Aniculaesei, Uml based analysis of power consumption in real-time embedded systems, Master s thesis, TU Braunschweig, [18] C.-C. Yang, K. Wang, M.-H. Lin, and P. Lin, Energy efficient intra-task dynamic voltage scaling for realistic cpus of mobile devices, J. Inf. Sci. Eng., vol. 25, no. 1, pp ,

Transforming UML State Machines into Stochastic Petri Nets for Energy Consumption Estimation of Embedded Systems

Transforming UML State Machines into Stochastic Petri Nets for Energy Consumption Estimation of Embedded Systems Transforming UML State Machines into Stochastic Petri Nets for Energy Consumption Estimation of Embedded Systems Dmitriy Shorin and Armin Zimmermann Ilmenau University of Technology System & Software Engineering

More information

Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-Driven Systems Λ

Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-Driven Systems Λ Dynamic Voltage Scaling of Periodic and Aperiodic Tasks in Priority-Driven Systems Λ Dongkun Shin Jihong Kim School of CSE School of CSE Seoul National University Seoul National University Seoul, Korea

More information

Evaluating a DVS Scheme for Real-Time Embedded Systems

Evaluating a DVS Scheme for Real-Time Embedded Systems Evaluating a DVS Scheme for Real-Time Embedded Systems Ruibin Xu, Daniel Mossé, Rami Melhem Computer Science Department, University of Pittsburgh {xruibin,mosse,melhem}@cs.pitt.edu Abstract Dynamic voltage

More information

Energy Aware Computing in Cooperative Wireless Networks

Energy Aware Computing in Cooperative Wireless Networks Energy Aware Computing in Cooperative Wireless Networks Anders Brødløs Olsen, Frank H.P. Fitzek, Peter Koch Department of Communication Technology, Aalborg University Niels Jernes Vej 12, 9220 Aalborg

More information

Dual-Processor Design of Energy Efficient Fault-Tolerant System

Dual-Processor Design of Energy Efficient Fault-Tolerant System Dual-Processor Design of Energy Efficient Fault-Tolerant System Shaoxiong Hua Synopsys Inc. 7 E. Middlefield Road Mountain View, CA 9443 huas@synopsys.com Pushkin R. Pari Intel Technology India Pvt. Ltd.

More information

ENERGY EFFICIENT SCHEDULING SIMULATOR FOR DISTRIBUTED REAL-TIME SYSTEMS

ENERGY EFFICIENT SCHEDULING SIMULATOR FOR DISTRIBUTED REAL-TIME SYSTEMS I J I T E ISSN: 2229-7367 3(1-2), 2012, pp. 409-414 ENERGY EFFICIENT SCHEDULING SIMULATOR FOR DISTRIBUTED REAL-TIME SYSTEMS SANTHI BASKARAN 1, VARUN KUMAR P. 2, VEVAKE B. 2 & KARTHIKEYAN A. 2 1 Assistant

More information

Real-Time Dynamic Voltage Hopping on MPSoCs

Real-Time Dynamic Voltage Hopping on MPSoCs Real-Time Dynamic Voltage Hopping on MPSoCs Tohru Ishihara System LSI Research Center, Kyushu University 2009/08/05 The 9 th International Forum on MPSoC and Multicore 1 Background Low Power / Low Energy

More information

An Efficient Approach to Energy Saving in Microcontrollers

An Efficient Approach to Energy Saving in Microcontrollers An Efficient Approach to Energy Saving in Microcontrollers Wenhong Zhao 1 and Feng Xia 2 1 Precision Engineering Laboratory, Zhejiang University of Technology, Hangzhou 310014, China wenhongzhao@gmail.com

More information

Predictive Thermal Management for Hard Real-Time Tasks

Predictive Thermal Management for Hard Real-Time Tasks Predictive Thermal Management for Hard Real-Time Tasks Albert Mo Kim Cheng and Chen Feng Real-Time System Laboratory, Department of Computer Science University of Houston, Houston, TX 77204, USA {cheng,

More information

SWE 760 Lecture 1: Introduction to Analysis & Design of Real-Time Embedded Systems

SWE 760 Lecture 1: Introduction to Analysis & Design of Real-Time Embedded Systems SWE 760 Lecture 1: Introduction to Analysis & Design of Real-Time Embedded Systems Hassan Gomaa References: H. Gomaa, Chapters 1, 2, 3 - Real-Time Software Design for Embedded Systems, Cambridge University

More information

An Integration of Imprecise Computation Model and Real-Time Voltage and Frequency Scaling

An Integration of Imprecise Computation Model and Real-Time Voltage and Frequency Scaling An Integration of Imprecise Computation Model and Real-Time Voltage and Frequency Scaling Keigo Mizotani, Yusuke Hatori, Yusuke Kumura, Masayoshi Takasu, Hiroyuki Chishiro, and Nobuyuki Yamasaki Graduate

More information

Multimedia Systems 2011/2012

Multimedia Systems 2011/2012 Multimedia Systems 2011/2012 System Architecture Prof. Dr. Paul Müller University of Kaiserslautern Department of Computer Science Integrated Communication Systems ICSY http://www.icsy.de Sitemap 2 Hardware

More information

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System HU WEI, CHEN TIANZHOU, SHI QINGSONG, JIANG NING College of Computer Science Zhejiang University College of Computer

More information

A Simple Model for Estimating Power Consumption of a Multicore Server System

A Simple Model for Estimating Power Consumption of a Multicore Server System , pp.153-160 http://dx.doi.org/10.14257/ijmue.2014.9.2.15 A Simple Model for Estimating Power Consumption of a Multicore Server System Minjoong Kim, Yoondeok Ju, Jinseok Chae and Moonju Park School of

More information

Real-Time Dynamic Energy Management on MPSoCs

Real-Time Dynamic Energy Management on MPSoCs Real-Time Dynamic Energy Management on MPSoCs Tohru Ishihara Graduate School of Informatics, Kyoto University 2013/03/27 University of Bristol on Energy-Aware COmputing (EACO) Workshop 1 Background Low

More information

Energy Management Issue in Ad Hoc Networks

Energy Management Issue in Ad Hoc Networks Wireless Ad Hoc and Sensor Networks - Energy Management Outline Energy Management Issue in ad hoc networks WS 2010/2011 Main Reasons for Energy Management in ad hoc networks Classification of Energy Management

More information

Energy Management Issue in Ad Hoc Networks

Energy Management Issue in Ad Hoc Networks Wireless Ad Hoc and Sensor Networks (Energy Management) Outline Energy Management Issue in ad hoc networks WS 2009/2010 Main Reasons for Energy Management in ad hoc networks Classification of Energy Management

More information

Timing Analysis on Complex Real-Time Automotive Multicore Architectures

Timing Analysis on Complex Real-Time Automotive Multicore Architectures 2 nd Workshop on Mapping Applications to MPSoCs St. Goar, June 2009 Timing Analysis on Complex Real-Time Automotive Multicore Architectures Mircea Negrean Simon Schliecker Rolf Ernst Technische Universität

More information

From UML/MARTE Models of Multiprocessor Real-time Embedded Systems to Early Schedulability Analysis based on SimSo Tool

From UML/MARTE Models of Multiprocessor Real-time Embedded Systems to Early Schedulability Analysis based on SimSo Tool From UML/MARTE Models of Multiprocessor Real-time Embedded Systems to Early Schedulability Analysis based on SimSo Tool Amina Magdich, Yessine Hadj Kacem, Adel Mahfoudhi and Mohamed Abid CES laboratory,

More information

Implementation Synthesis of Embedded Software under Operating Systems Supporting the Hybrid Scheduling Model

Implementation Synthesis of Embedded Software under Operating Systems Supporting the Hybrid Scheduling Model Implementation Synthesis of Embedded Software under Operating Systems Supporting the Hybrid Scheduling Model Zhigang Gao 1, Zhaohui Wu 1, and Hong Li 1 1 College of Computer Science, Zhejiang University

More information

CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER

CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER 73 CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER 7.1 INTRODUCTION The proposed DVS algorithm is implemented on DELL INSPIRON 6000 model laptop, which has Intel Pentium Mobile Processor

More information

Time Triggered and Event Triggered; Off-line Scheduling

Time Triggered and Event Triggered; Off-line Scheduling Time Triggered and Event Triggered; Off-line Scheduling Real-Time Architectures -TUe Gerhard Fohler 2004 Mälardalen University, Sweden gerhard.fohler@mdh.se Real-time: TT and ET Gerhard Fohler 2004 1 Activation

More information

UML EXTENSIONS FOR MODELING REAL-TIME AND EMBEDDED SYSTEMS

UML EXTENSIONS FOR MODELING REAL-TIME AND EMBEDDED SYSTEMS The International Workshop on Discrete-Event System Design, DESDes 01, June 27 29, 2001; Przytok near Zielona Gora, Poland UML EXTENSIONS FOR MODELING REAL-TIME AND EMBEDDED SYSTEMS Sławomir SZOSTAK 1,

More information

Mixed Criticality Scheduling in Time-Triggered Legacy Systems

Mixed Criticality Scheduling in Time-Triggered Legacy Systems Mixed Criticality Scheduling in Time-Triggered Legacy Systems Jens Theis and Gerhard Fohler Technische Universität Kaiserslautern, Germany Email: {jtheis,fohler}@eit.uni-kl.de Abstract Research on mixed

More information

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System HU WEI CHEN TIANZHOU SHI QINGSONG JIANG NING College of Computer Science Zhejiang University College of Computer Science

More information

Early Power-aware Design Space Exploration for Embedded Systems: MPEG-2 Case Study

Early Power-aware Design Space Exploration for Embedded Systems: MPEG-2 Case Study Early Power-aware Design Space Exploration for Embedded Systems: MPEG-2 Case Study Feriel Ben Abdallah, Chiraz Trabelsi, Rabie Ben Atitallah and Mourad Abed Institut Mines-Telecom, Telecom ParisTech, France

More information

Model transformation and scheduling analysis of an AUTOSAR system

Model transformation and scheduling analysis of an AUTOSAR system Model transformation and scheduling analysis of an AUTOSAR system Ahmed Daghsen, Khaled Chaaban, Sébastien Saudrais ESTACA campus ouest Embedded systems laboratory Laval, 53000, France ahmed.daghsen@estaca.fr

More information

Frequency and Voltage Scaling Design. Ruixing Yang

Frequency and Voltage Scaling Design. Ruixing Yang Frequency and Voltage Scaling Design Ruixing Yang 04.12.2008 Outline Dynamic Power and Energy Voltage Scaling Approaches Dynamic Voltage and Frequency Scaling (DVFS) CPU subsystem issues Adaptive Voltages

More information

A Design Framework for Real-Time Embedded Systems with Code Size and Energy Constraints

A Design Framework for Real-Time Embedded Systems with Code Size and Energy Constraints A Design Framework for Real-Time Embedded Systems with Code Size and Energy Constraints SHEAYUN LEE Samsung Electronics Co., Ltd. INSIK SHIN University of Pennsylvania WOONSEOK KIM Samsung Electronics

More information

The Impact of Write Back on Cache Performance

The Impact of Write Back on Cache Performance The Impact of Write Back on Cache Performance Daniel Kroening and Silvia M. Mueller Computer Science Department Universitaet des Saarlandes, 66123 Saarbruecken, Germany email: kroening@handshake.de, smueller@cs.uni-sb.de,

More information

Time properties Verification of UML/MARTE Real-Time Systems

Time properties Verification of UML/MARTE Real-Time Systems Time properties Verification of UML/MARTE Real-Time Systems Aymen Louati 1,2 1 LR-SITI, ENIT, Université Tunis El Manar, Tunisie aymen.louati@enit.rnu.tn Kamel Barkaoui 2 2 CEDRIC CNAM, Rue Saint-Martin,

More information

Quality-Assured Energy Balancing for Multi-hop Wireless Multimedia Networks via 2-D Channel Coding Rate Allocation

Quality-Assured Energy Balancing for Multi-hop Wireless Multimedia Networks via 2-D Channel Coding Rate Allocation Quality-Assured Energy Balancing for Multi-hop Wireless Multimedia Networks via 2-D Channel Coding Rate Allocation Lin Xing, Wei Wang, Gensheng Zhang Electrical Engineering and Computer Science, South

More information

Concurrent Testing with RF

Concurrent Testing with RF Concurrent Testing with RF Jeff Brenner Verigy US EK Tan Verigy Singapore go/semi March 2010 1 Introduction Integration of multiple functional cores can be accomplished through the development of either

More information

Energy Aware EDF Scheduling with Task Synchronization for Embedded Real Time Systems

Energy Aware EDF Scheduling with Task Synchronization for Embedded Real Time Systems Energy Aware EDF Scheduling with Task Synchronization for Embedded Real Time Systems Ravindra Jejurikar Rajesh K. Gupta Center for Embedded Computer Systems, Department of Information and Computer Science,

More information

Modeling Event Stream Hierarchies with Hierarchical Event Models

Modeling Event Stream Hierarchies with Hierarchical Event Models Modeling Event Stream Hierarchies with Hierarchical Event Models Jonas Rox, Rolf Ernst Institute of Computer and Communication Network Engineering Technical University of Braunschweig D-38106 Braunschweig

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

AN RT-UML MODEL FOR BUILDING FASTER-THAN-REAL-TIME SIMULATORS

AN RT-UML MODEL FOR BUILDING FASTER-THAN-REAL-TIME SIMULATORS AN RT-UML MODEL FOR BUILDING FASTER-THAN-REAL-TIME SIMULATORS Dimosthenis Anagnostopoulos 1, Vassilis Dalakas 2, Georgios-Dimitrios Kapos 1, Mara Nikolaidou 2 1 Harokopio University of Athens, 70 El. Venizelou

More information

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Yifeng Guo, Dakai Zhu University of Texas at San Antonio {yguo, dzhu}@cs.utsa.edu Hakan Aydin George Mason University aydin@cs.gmu.edu

More information

ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS

ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS Santhi Baskaran 1 and P. Thambidurai 2 1 Department of Information Technology, Pondicherry Engineering

More information

Extending the Software Tool TimeNET by Power Consumption Estimation of UML MARTE Models

Extending the Software Tool TimeNET by Power Consumption Estimation of UML MARTE Models Proc. 4th Int. Conf. on Simulation and Modeling Methodologies, Technologies and Applications (SIMULTECH 2014), Vienna, Austria, August 2014, pp. 83-91. Extending the Software Tool TimeNET by Power Consumption

More information

Design of Embedded Systems

Design of Embedded Systems Design of Embedded Systems José Costa Software for Embedded Systems Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2015-01-02 José Costa (DEI/IST) Design of Embedded Systems 1

More information

ANALYSIS OF A DYNAMIC LOAD BALANCING IN MULTIPROCESSOR SYSTEM

ANALYSIS OF A DYNAMIC LOAD BALANCING IN MULTIPROCESSOR SYSTEM International Journal of Computer Science Engineering and Information Technology Research (IJCSEITR) ISSN 2249-6831 Vol. 3, Issue 1, Mar 2013, 143-148 TJPRC Pvt. Ltd. ANALYSIS OF A DYNAMIC LOAD BALANCING

More information

Evaluation and Validation

Evaluation and Validation 12 Evaluation and Validation Peter Marwedel TU Dortmund, Informatik 12 Germany Graphics: Alexandra Nolte, Gesine Marwedel, 2003 2010 年 12 月 05 日 These slides use Microsoft clip arts. Microsoft copyright

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Parametric Intra-Task Dynamic Voltage Scheduling

Parametric Intra-Task Dynamic Voltage Scheduling Parametric Intra-Task Dynamic Voltage Scheduling Burt Walsh, Robert van Engelen, Kyle Gallivan, Johnnie Birch, and Yixin Shou Department of Computer Science and School of Computational Science and Information

More information

Energy-aware Scheduling for Frame-based Tasks on Heterogeneous Multiprocessor Platforms

Energy-aware Scheduling for Frame-based Tasks on Heterogeneous Multiprocessor Platforms Energy-aware Scheduling for Frame-based Tasks on Heterogeneous Multiprocessor Platforms Dawei Li and Jie Wu Department of Computer and Information Sciences Temple University Philadelphia, USA {dawei.li,

More information

Selection of UML Models for Test Case Generation: A Discussion on Techniques to Generate Test Cases

Selection of UML Models for Test Case Generation: A Discussion on Techniques to Generate Test Cases St. Cloud State University therepository at St. Cloud State Culminating Projects in Computer Science and Information Technology Department of Computer Science and Information Technology 6-2018 Selection

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems

Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems Dakai Zhu, Nevine AbouGhazaleh, Daniel Mossé and Rami Melhem Computer Science Department University of Pittsburgh Pittsburgh,

More information

A task migration algorithm for power management on heterogeneous multicore Manman Peng1, a, Wen Luo1, b

A task migration algorithm for power management on heterogeneous multicore Manman Peng1, a, Wen Luo1, b 5th International Conference on Advanced Materials and Computer Science (ICAMCS 2016) A task migration algorithm for power management on heterogeneous multicore Manman Peng1, a, Wen Luo1, b 1 School of

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Enabling Scheduling Analysis of Heterogeneous Systems with Multi-Rate Data Dependencies and Rate Intervals

Enabling Scheduling Analysis of Heterogeneous Systems with Multi-Rate Data Dependencies and Rate Intervals 28.2 Enabling Scheduling Analysis of Heterogeneous Systems with Multi-Rate Data Dependencies and Rate Intervals Marek Jersak, Rolf Ernst Technical University of Braunschweig Institute of Computer and Communication

More information

Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis

Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis Proceedings of the 5th Intl Workshop on Worst-Case Execution Time (WCET) Analysis Page 41 of 49 Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis

More information

Improving Real-Time Performance on Multicore Platforms Using MemGuard

Improving Real-Time Performance on Multicore Platforms Using MemGuard Improving Real-Time Performance on Multicore Platforms Using MemGuard Heechul Yun University of Kansas 2335 Irving hill Rd, Lawrence, KS heechul@ittc.ku.edu Abstract In this paper, we present a case-study

More information

Improving the Data Scheduling Efficiency of the IEEE (d) Mesh Network

Improving the Data Scheduling Efficiency of the IEEE (d) Mesh Network Improving the Data Scheduling Efficiency of the IEEE 802.16(d) Mesh Network Shie-Yuan Wang Email: shieyuan@csie.nctu.edu.tw Chih-Che Lin Email: jclin@csie.nctu.edu.tw Ku-Han Fang Email: khfang@csie.nctu.edu.tw

More information

Layer-Based Scheduling Algorithms for Multiprocessor-Tasks with Precedence Constraints

Layer-Based Scheduling Algorithms for Multiprocessor-Tasks with Precedence Constraints Layer-Based Scheduling Algorithms for Multiprocessor-Tasks with Precedence Constraints Jörg Dümmler, Raphael Kunis, and Gudula Rünger Chemnitz University of Technology, Department of Computer Science,

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

Quantitative Safety Analysis of SysML Models

Quantitative Safety Analysis of SysML Models University of Konstanz Department of Computer and Information Science Bachelor Thesis for the degree Bachelor of Science (B. Sc.) in Information Engineering Quantitative Safety Analysis of SysML Models

More information

Energy-Efficient Dynamic Task Scheduling Algorithms for DVS Systems

Energy-Efficient Dynamic Task Scheduling Algorithms for DVS Systems Energy-Efficient Dynamic Task Scheduling Algorithms for DVS Systems JIANLI ZHUO and CHAITALI CHAKRABARTI Arizona State University Dynamic voltage scaling (DVS) is a well-known low power design technique

More information

A Fault Tolerant Approach for WSN Chain Based Routing Protocols

A Fault Tolerant Approach for WSN Chain Based Routing Protocols International Journal of Computer Networks and Communications Security VOL. 3, NO. 2, FEBRUARY 2015, 27 32 Available online at: www.ijcncs.org E-ISSN 2308-9830 (Online) / ISSN 2410-0595 (Print) A Fault

More information

A practical dynamic frequency scaling scheduling algorithm for general purpose embedded operating system

A practical dynamic frequency scaling scheduling algorithm for general purpose embedded operating system A practical dynamic frequency scaling scheduling algorithm for general purpose embedded operating system Chen Tianzhou, Huang Jiangwei, Zheng Zhenwei, Xiang Liangxiang College of computer science, ZheJiang

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

SDR Forum Technical Conference 2007

SDR Forum Technical Conference 2007 THE APPLICATION OF A NOVEL ADAPTIVE DYNAMIC VOLTAGE SCALING SCHEME TO SOFTWARE DEFINED RADIO Craig Dolwin (Toshiba Research Europe Ltd, Bristol, UK, craig.dolwin@toshiba-trel.com) ABSTRACT This paper presents

More information

IN the last decade, the research community has addressed

IN the last decade, the research community has addressed 584 IEEE TRANACTION ON COMPUTER, VOL. 53, NO. 5, MAY 2004 Power-Aware cheduling for Periodic Real-Time Tasks Hakan Aydin, Member, IEEE Computer ociety, Rami Melhem, Fellow, IEEE, Daniel Mossé, Member,

More information

Using Stereotypes of the Unified Modeling Language in Mechatronic Systems

Using Stereotypes of the Unified Modeling Language in Mechatronic Systems Using Stereotypes of the Unified Modeling Language in Mechatronic Systems Torsten Heverhagen, Rudolf Tracht University of Essen, Germany, FB 12, Automation and Control Torsten.Heverhagen@uni-essen.de,

More information

Utilizing Device Slack for Energy-Efficient I/O Device Scheduling in Hard Real-Time Systems with Non-preemptible Resources

Utilizing Device Slack for Energy-Efficient I/O Device Scheduling in Hard Real-Time Systems with Non-preemptible Resources University of Nebraska - Lincoln DigitalCommons@University of Nebraska - Lincoln CSE Technical reports Computer Science and Engineering, Department of 2005 Utilizing Device Slack for Energy-Efficient I/O

More information

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks Last Time Making correct concurrent programs Maintaining invariants Avoiding deadlocks Today Power management Hardware capabilities Software management strategies Power and Energy Review Energy is power

More information

MARTE Based Modeling Tools Usage Scenarios in Avionics Software Development Workflows

MARTE Based Modeling Tools Usage Scenarios in Avionics Software Development Workflows MARTE Based Modeling Tools Usage Scenarios in Avionics Software Development Workflows Alessandra Bagnato, Stefano Genolini Txt e-solutions FMCO 2010, Graz, 29 November 2010 Overview MADES Project and MADES

More information

FIXED PRIORITY SCHEDULING ANALYSIS OF THE POWERTRAIN MANAGEMENT APPLICATION EXAMPLE USING THE SCHEDULITE TOOL

FIXED PRIORITY SCHEDULING ANALYSIS OF THE POWERTRAIN MANAGEMENT APPLICATION EXAMPLE USING THE SCHEDULITE TOOL FIXED PRIORITY SCHEDULING ANALYSIS OF THE POWERTRAIN MANAGEMENT APPLICATION EXAMPLE USING THE SCHEDULITE TOOL Jens Larsson t91jla@docs.uu.se Technical Report ASTEC 97/03 DoCS 97/82 Department of Computer

More information

Target Tracking in Wireless Sensor Network

Target Tracking in Wireless Sensor Network International Journal of Information & Computation Technology. ISSN 0974-2239 Volume 4, Number 6 (2014), pp. 643-648 International Research Publications House http://www. irphouse.com Target Tracking in

More information

Designing Reusable and Run-Time Evolvable Scheduling Software

Designing Reusable and Run-Time Evolvable Scheduling Software 339 Designing Reusable and Run-Time Evolvable Scheduling Software Güner Orhan Mehmet Akşit Arend Rensink Abstract Scheduling processes have been applied to a large category of application areas such as

More information

SMFF: System Models for Free

SMFF: System Models for Free Platzhalter für Bild, Bild auf Titelfolie hinter das Logo einsetzen SMFF: System Models for Free Moritz Neukirchner, Steffen Stein, Rolf Ernst 05.07.2011 How do we evaluate our algorithms? July 5th 2011

More information

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy Revolutionizing Technological s such as and their Multiple Implementation in the Cache Level Hierarchy Michael Mosquera Department of Electrical and Computer Engineering University of Central Florida Orlando,

More information

Probabilistic Worst-Case Response-Time Analysis for the Controller Area Network

Probabilistic Worst-Case Response-Time Analysis for the Controller Area Network Probabilistic Worst-Case Response-Time Analysis for the Controller Area Network Thomas Nolte, Hans Hansson, and Christer Norström Mälardalen Real-Time Research Centre Department of Computer Engineering

More information

Scheduling of Multiple Applications in Wireless Sensor Networks Using Knowledge of Applications and Network

Scheduling of Multiple Applications in Wireless Sensor Networks Using Knowledge of Applications and Network International Journal of Information and Computer Science (IJICS) Volume 5, 2016 doi: 10.14355/ijics.2016.05.002 www.iji-cs.org Scheduling of Multiple Applications in Wireless Sensor Networks Using Knowledge

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Embedded Systems. Information. TDDD93 Large-Scale Distributed Systems and Networks

Embedded Systems. Information. TDDD93 Large-Scale Distributed Systems and Networks TDDD93 Fö Embedded Systems - TDDD93 Fö Embedded Systems - 2 Information TDDD93 Large-Scale Distributed Systems and Networks Lectures on Lecture notes: available from the course page, latest 24 hours before

More information

Elevator Control System

Elevator Control System Control System Koichiro Ochimizu School of Information Science Japan Advanced Institute of Science and Technology Schedule(3/3) March 2 3:00 Unified Process and COMET 4:30 Case Study of Control System

More information

Multiprocessor scheduling

Multiprocessor scheduling Chapter 10 Multiprocessor scheduling When a computer system contains multiple processors, a few new issues arise. Multiprocessor systems can be categorized into the following: Loosely coupled or distributed.

More information

How to get realistic C-states latency and residency? Vincent Guittot

How to get realistic C-states latency and residency? Vincent Guittot How to get realistic C-states latency and residency? Vincent Guittot Agenda Overview Exit latency Enter latency Residency Conclusion Overview Overview PMWG uses hikey960 for testing our dev on b/l system

More information

Energy-Aware MPEG-4 4 FGS Streaming

Energy-Aware MPEG-4 4 FGS Streaming Energy-Aware MPEG-4 4 FGS Streaming Kihwan Choi and Massoud Pedram University of Southern California Kwanho Kim Seoul National University Outline! Wireless video streaming! Scalable video coding " MPEG-2

More information

An Experimental Investigation into the Rank Function of the Heterogeneous Earliest Finish Time Scheduling Algorithm

An Experimental Investigation into the Rank Function of the Heterogeneous Earliest Finish Time Scheduling Algorithm An Experimental Investigation into the Rank Function of the Heterogeneous Earliest Finish Time Scheduling Algorithm Henan Zhao and Rizos Sakellariou Department of Computer Science, University of Manchester,

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Energy Efficient Clustering Protocol for Wireless Sensor Network

Energy Efficient Clustering Protocol for Wireless Sensor Network Energy Efficient Clustering Protocol for Wireless Sensor Network Shraddha Agrawal #1, Rajeev Pandey #2, Mahesh Motwani #3 # Department of Computer Science and Engineering UIT RGPV, Bhopal, India 1 45shraddha@gmail.com

More information

Practical Voltage Scaling for Mobile Multimedia Devices

Practical Voltage Scaling for Mobile Multimedia Devices Practical Voltage Scaling for Mobile Multimedia Devices Wanghong Yuan, Klara Nahrstedt Department of Computer Science University of Illinois at Urbana-Champaign N. Goodwin, Urbana, IL 68, USA {wyuan, klara}@cs.uiuc.edu

More information

Performance Evaluation of AODV and DSDV Routing Protocol in wireless sensor network Environment

Performance Evaluation of AODV and DSDV Routing Protocol in wireless sensor network Environment 2012 International Conference on Computer Networks and Communication Systems (CNCS 2012) IPCSIT vol.35(2012) (2012) IACSIT Press, Singapore Performance Evaluation of AODV and DSDV Routing Protocol in wireless

More information

Adaptive Real-time Monitoring Mechanism for Replicated Distributed Video Player Systems

Adaptive Real-time Monitoring Mechanism for Replicated Distributed Video Player Systems Adaptive Real-time Monitoring Mechanism for Replicated Distributed Player Systems Chris C.H. Ngan, Kam-Yiu Lam and Edward Chan Department of Computer Science City University of Hong Kong 83 Tat Chee Avenue,

More information

Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter

Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter M. Bednara, O. Beyer, J. Teich, R. Wanka Paderborn University D-33095 Paderborn, Germany bednara,beyer,teich @date.upb.de,

More information

Approximately half the power consumption of earlier Renesas Technology products and multiple functions in a 14-pin package

Approximately half the power consumption of earlier Renesas Technology products and multiple functions in a 14-pin package Renesas Technology to Release R8C/Mx Series of Flash MCUs with Power Consumption Among the Lowest in the Industry and Powerful On-Chip Peripheral Functions Approximately half the power consumption of earlier

More information

A Capacity Sharing and Stealing Strategy for Open Real-time Systems

A Capacity Sharing and Stealing Strategy for Open Real-time Systems A Capacity Sharing and Stealing Strategy for Open Real-time Systems Luís Nogueira, Luís Miguel Pinho CISTER Research Centre School of Engineering of the Polytechnic Institute of Porto (ISEP/IPP) Rua Dr.

More information

Implementation of an Adaptive MAC Protocol in WSN using Network Simulator-2

Implementation of an Adaptive MAC Protocol in WSN using Network Simulator-2 Implementation of an Adaptive MAC Protocol in WSN using Network Simulator-2 1 Suresh, 2 C.B.Vinutha, 3 Dr.M.Z Kurian 1 4 th Sem, M.Tech (Digital Electronics), SSIT, Tumkur 2 Lecturer, Dept.of E&C, SSIT,

More information

Design of memory efficient FIFO-based merge sorter

Design of memory efficient FIFO-based merge sorter LETTER IEICE Electronics Express, Vol.15, No.5, 1 11 Design of memory efficient FIFO-based merge sorter Youngil Kim a), Seungdo Choi, and Yong Ho Song Department of Electronics and Computer Engineering,

More information

UML Profile for MARTE: Time Model and CCSL

UML Profile for MARTE: Time Model and CCSL UML Profile for MARTE: Time Model and CCSL Frédéric Mallet 1 Université Nice Sophia Antipolis, Aoste team INRIA/I3S, Sophia Antipolis, France Frederic.Mallet@unice.fr Abstract. This 90 minutes tutorial

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Comparative Study of blocking mechanisms for Packet Switched Omega Networks

Comparative Study of blocking mechanisms for Packet Switched Omega Networks Proceedings of the 6th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Corfu Island, Greece, February 16-19, 2007 18 Comparative Study of blocking mechanisms for Packet

More information

Eliminating Annotations by Automatic Flow Analysis of Real-Time Programs

Eliminating Annotations by Automatic Flow Analysis of Real-Time Programs Eliminating Annotations by Automatic Flow Analysis of Real-Time Programs Jan Gustafsson Department of Computer Engineering, Mälardalen University Box 883, S-721 23 Västerås, Sweden jangustafsson@mdhse

More information

CSE237a Final Exam Winter Prof. Tajana Simunic Rosing. Problem Maximum points Points earned Total 100

CSE237a Final Exam Winter Prof. Tajana Simunic Rosing. Problem Maximum points Points earned Total 100 CSE237a Final Exam Winter 2018 Name: PID: Problem Maximum points Points earned 1 15 2 10 3 15 4 20 5 20 6 20 Total 100 Instructions 1. Write your name on every page. 2. Please make sure your writing is

More information

Mitigating Hot Spot Problems in Wireless Sensor Networks Using Tier-Based Quantification Algorithm

Mitigating Hot Spot Problems in Wireless Sensor Networks Using Tier-Based Quantification Algorithm BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 16, No 1 Sofia 2016 Print ISSN: 1311-9702; Online ISSN: 1314-4081 DOI: 10.1515/cait-2016-0005 Mitigating Hot Spot Problems

More information

The Study of Genetic Algorithm-based Task Scheduling for Cloud Computing

The Study of Genetic Algorithm-based Task Scheduling for Cloud Computing The Study of Genetic Algorithm-based Task Scheduling for Cloud Computing Sung Ho Jang, Tae Young Kim, Jae Kwon Kim and Jong Sik Lee School of Information Engineering Inha University #253, YongHyun-Dong,

More information

Optimization for Real-Time Systems with Non-convex Power versus Speed Models

Optimization for Real-Time Systems with Non-convex Power versus Speed Models Optimization for Real-Time Systems with Non-convex Power versus Speed Models Ani Nahapetian, Foad Dabiri, Miodrag Potkonjak, Majid Sarrafzadeh Computer Science Department, University of Calfornia Los Angeles

More information