PAPER A Systolic FPGA Architecture of Two-Level Dynamic Programming for Connected Speech Recognition

Size: px
Start display at page:

Download "PAPER A Systolic FPGA Architecture of Two-Level Dynamic Programming for Connected Speech Recognition"

Transcription

1 562 PAPER A Systolic FPGA Architecture of Two-Level Dynamic Programming for Connected Speech Recognition Yong KIM a), Student Member and Hong JEONG, Nonmember SUMMARY In this paper, we present an efficient architecture for connected word recognition that can be implemented with field programmable gate array (FPGA). The architecture consists of newly derived two-level dynamic programming (TLDP) that use only bit addition and shift operations. The advantages of this architecture are the spatial efficiency to accommodate more words with limited space and the absence of multiplications to increase computational speed by reducing propagation delays. The architecture is highly regular, consisting of identical and simple processing elements with only nearest-neighbor communication, and external communication occurs with the end processing elements. In order to verify the proposed architecture, we have also designed and implemented it, prototyping with Xilinx FPGAs running at 33 MHz. key words: speech recognition, hidden Markov model (HMM), two-level dynamic programming (TLDP), FPGA 1. Introduction Speech recognition is a process that allows a computer to map acoustic speech signals to text. That is, speech recognition converts acoustic speech signals provided by a microphone or a telephone into words, a group of words, or sentences. Recognition results may be used as final results by application fields such as instructions, controls, data inputs, and documentation, and may also be used as inputs of language processing in the field of speech understanding. Furthermore, speech recognition is an attractive technique allowing interactive communication between humans and computers, making computer usage environments more convenient for human beings. For most speech recognition applications, it is sufficient to produce results in real time, and software solutions that perform recognition in real time already exist. However, to increase the use of speech recognition in embedded systems, we need a speech recognition chip with low power consumption, small size, and low cost. In previous works, dedicated hardware architectures for hidden Markov model (HMM)-based speech recognition were introduced in [1] [8]. These are summarized in Table 1. The existing architectures are designed for isolated speech recognition. Unfortunately, there is no direct implementation on hardware for connected speech recognition. In this paper, we derive a new architecture based on bit additions and shift operations only, excluding any integer multiplications for Manuscript received May 22, Manuscript revised September 18, The authors are with the department of electronics and electrical engineering, POSTECH, Pohang, Kyungbuk, , Korea. a) ddda@postech.ac.kr DOI: /ietisy/e90 d connected word recognition, using the TLDP [12], [13] algorithm. In the connected word recognition, most of the problems arise fromthe difficulty in reliably determining the word boundaries. TLDP is a well-known speech recognition algorithm that assigns word strings to speech segments. We introduce an efficient linear systolic array architecture that is appropriate for FPGA implementation. The array is highly regular, consisting of identical and simple processing elements. The design is very scalable, and since these arrays can be concatenated, it is also easily extensible. A scalable technique always provides optimum hardware resources that can cope with variable conditions by making small modifications to the hardware architecture. The present architecture relates to the chip design technique based on the ASIC and FPGA, and allows the realization of small devices with lower power consumption and low costs by developing an algorithm optimized to the chip. The hardwired speech recognition system allows easy installation in a device that uses speech recognition through a small and convenient interface without a computer, and allows realtime speech recognition due to the parallel architecture. The organization of this paper is as follows. Section 2 derives the TLDP algorithm for connected speech recognition. Section 3 shows the detailed systolic architecture of TLDP. The test results are discussed in Sect. 4 and finally, conclusions are given in Sect Background of Two Level Dynamic Programming Algorithm When boundaries are unclear (connected speech case), the TLDP algorithm can be used to find them quite well. A very brief outline is given below. For a more detailed description of TLDP theory, see [11]. The notation here is based on [11]. 2.1 Basic Principles of TLDP The basic idea of the TLDP is to break up the computation of connected speech recognition into two stages. At the first level, the algorithm matches each individual word reference pattern, R v, against an arbitrary portion of the test string, T. T and R v utterances are experssed as in (1). T = {t(1), t(2),, t(m)} = {t(m)} m=1 M, R v = {r v (1), r v (2),, r v (N v )} = {r v (m)} Nv m=1, (1) where t(m) is a test pattern, r v (m)(1 v V) is a pattern of Copyright c 2007 The Institute of Electronics, Information and Communication Engineers

2 KIM and JEONG: A SYSTOLIC FPGA ARCHITECTURE 563 Table 1 Comparison of HMM systems. Author Year Implementation method Vocabulary size V. Upadhyaya [1] 1993 Using Multiple-Compare-Select (MCS) operation B.S. Kim [2] 2000 Using IHMM, removing redundant computationofpathmatrix F.L. Vargas [3] 2001 Hardware/software co-design implementation approach, small-speech recognition Recognition rate over % J.M. Jou [4] 2001 Using look-ahead pipelining technique 25,000 92% B.G. Park [5] 2002 Using modified Viterbi scoring procedure and precomputing logic S. Yoshizawa [6] 2002 Using continuous HMM (CHMM) based speech recognition W. Han [7] 2003 Using multi-mixture Gaussian observation % probability within each state of the models F.A. Elmisery [8] 2003 Using modified HMM algorithm, isolated 98% Arabic word recognition G.C. Caradarilli [9] 2004 Continuous-speech speaker-independent ASR systems 200 the v th word from among V reference patterns to be recognized, and N v is the duration of the v th word reference pattern. For the range of beginning test frames of the match, s, 1 s M, and for the range of ending test frames, e, 1 e M (e > s), the minimum distance ˆD(v, s, e), for every possible vocabulary pattern, R v, between each possible pair of beginning and ending frames (s, e)isdefinedas ˆD(v, s, e) = min w(m) e d(t(m), r v (w(m))), (2) m=s where d(, ) is a local spectral distance measure, and w(m)is a window for dividing the total frame input for signal analysis during a very short time that is assumed to be stable. We can eliminate v by finding the best match between s and e for any v,giving D(s, e) = min [ ˆD(v, s, e)] = best score, 1 v V Ñ(s, e) = arg min [ ˆD(v, s, e)] (3) 1 v V = best reference index, thereby significantly reducing the data storage without losing optimality. Given the array of best scores, D(s, e), the second level of the computation pieces together the individual reference pattern scores to minimize the overall accumulated distance over the entire test string. This can be accomplished using dynamic programming as D l (e) = min [ D(s, e) + D l 1 (s 1)], (4) 1 s<e where D l (e) is the distance of the best path ending at frame e using a concatenated sequence of l reference patterns. The best path ending at frame e using exactly l reference patterns is the one with minimum distance over all possible beginning frames, s, of the concatenation of the best path ending at frame s 1 using exactly l 1 reference patterns plus the distance of (3) of the best path from frame s to frame e. 3. The Systolic Architecture of Two-Level Dynamic Programming Figure 1 is a basic block diagram of a TLDP. The system includes a first processing element group, a comparison module, a second processing element group, and a backtracking module. The first processing element group includes a plurality of parallel processing elements that have the same configuration, and calculate matching costs by using the HMM algorithm. The comparison module determines the minimum matching cost from the first processing element group, and stores it for later calculation. The second processing element group finds the optimized matching cost with the reference pattern for the total frame by using the minimum value determined by the comparison module, detects the word s end point, and recognizes a connected word. The second processing element group also includes a plurality of parallel processing elements having the same configuration. The backtracking module finds a word arrangement of the reference pattern that corresponds to the speech recognition result based on the calculation result by the second processing element group. In this instance, the first processing element group and the comparison module form the first level dynamic programming (first level DP), while the second processing element group and the backtracking module form the second level dynamic programming (second level DP). 3.1 Architecture of First Level DP The first group processing elements use the HMM algorithm and the dynamic programming scheme to calculate the matching costs. For example, the matching cost PE lev.1 (v, p, m)atthep th processing element is: PE lev.1 (v, p = s, m = e) = ˆD(v, s, e) (5) 1 p, m M,

3 564 Fig. 1 Overall structure of TLDP. (a) Overall architecture (b) Architecture of comparison module Fig. 2 Architecture of first level DP. where M is the dimension of the total frame. (5) shows the matching cost between the test pattern and the reference pattern during the interval of (s, e). Hardware architectures for HMM-based speech recognition were introduced in [1] [8], thereby not presented in this paper. As demonstrated in (5) and Fig. 2, the p th processing element sequentially calculates the matching costs from p to M when the start point is given to be p. The number of functioning processing elements is M; hence, the matching costs from all the start points to all the end points can be calculated. Therefore, realization of the above process through software requires the matching time of M 2 clock signals. However, realization through the parallel hardwired configuration of the present architecture generates the same calculation results by using M clock signals, corresponding to the dimension of the total frame. Figure 2 (a) shows the systolic array architecture of the first level DP. The first level DP includes the first processing element group and the comparison module. The first processing element group includes a state input unit and a plurality of parallel processing elements that have the same configuration for calculating the matching cost. The first level DP calculates the matching costs of a test pattern in comparison with the reference patterns at a start point and an end point by using the HMM algorithm and the dynamic programming scheme, determines the minimum matching cost, and extracts an index of the reference pattern corresponding to it. That is, since the start points for comparing the test pattern and the reference pattern are established at different values, the matching costs that have the respective

4 KIM and JEONG: A SYSTOLIC FPGA ARCHITECTURE 565 components as start points may be calculated by using M input clock signals when the test pattern has M components. When the state input unit receives a feature vector of a speech signal from the feature vector generator, the HMM parameters state transition probability distribution, A v,and observation symbol probability distribution, B v,m are calculated according to the learned probabilistic value, which are provided to the state input unit. To calculate the matching costs, the HMM parameters are sequentially input to the processing elements as clock signals. Figure 2 (b) shows a detailed comparison module of Fig. 2 (a). The comparison module stores the minimum value matching costs from the first processing element group and an index to the corresponding reference pattern. The calculation of the minimum matching cost is: C memory (v, p, m) = min[c memory (v 1, p, m), PE lev.1 (v, p, m)], I memory (v, p, m) = arg min[c memory (v 1, p, m), PE lev.1 (v, p, m)], (6) Fig. 3 Second level dynamic programming. where C memory (v, p, m) is the stored matching cost, and I memory (v, p, m) is the corresponding index. As (6) shows, the previously stored minimum matching cost, C memory (v 1, p, m) is compared to the current input matching cost, PE lev.1 (v, p, m), and the lesser one is stored in the memory. That is, the minimum one among the matching costs that are input up to a specific time is stored in the memory. In this instance, since the values of e in PE lev.1 (v, p, m) are sequentially input from 1 to M, the memory in the comparison module is configured to have M first-input firstoutput (FIFO) memories for sequential comparative calculation. Also as shown in Fig. 2 (a), the vertical axis stores the (a) Overall architecture (b) Architecture of second level processing element Fig. 4 Architecture of second level DP.

5 566 Fig. 5 The block diagram of the overall hardware. Table 2 Results from TLDP implementation (M = 40). First Level DP Second Level DP TLDP Number of Slices 32,692 20,629 44,027 Number of Slice FF 46,184 5,801 52,391 Number of 4 input LUTs 49,883 36,490 87,394 Max. Frequency MHz MHz MHz cost of the start point and the horizontal axis stores the cost of the end point. In this instance, since the start point cannot be greater than the end point, the available values correspond to those with slash marks in the comparison module of Fig. 2 (a). The required information is not only the minimum cost but also the corresponding word index. Therefore, all memory elements store minimum cost and index at v = V. C memory (V, p = s, m = e) = D(s, e), I memory (V, p = s, m = e) = Ñ(s, e). 3.2 Architecture of Second Level DP At the second level DP, we compute the distance of the best path ending at frame e, D l (e) using a concatenated sequence of l reference patterns as in (4). Figure 3 illustrates an algorithm for finding the optimized matching cost D l (e). Let us define the cost of p th second level DP processing element at l reference patterns as (7) PE lev.2 (l, p = e) = min [ D(s, e) + D l 1 (s 1)] 1 s<e = D l (e), (8) where D 0 (0) is 0, and D l (0) is (1 l L max ). As shown in Fig. 3, the second level finds D l (e) by using the values of D l 1 (0), D l 1 (1),, D l 1 (e 1) and the number of cases to be compared increases when the value of e increases. That is, as demonstrated in (8) and Fig. 3, the second level adds D(s, e) found by the first level to D l 1 (s 1) by using (l 1) reference patterns and the best path ending at frame (s 1), and finds the matching costs with the l reference patterns by using the dynamic programming scheme. As shown in Fig. 4 (a), the second level includes a second processing element group with a plurality of processing elements that have the same configuration, and a register for storing the matching costs calculated by the respective processing elements. The second level is easy to design and modify since all processing elements have the same configuration. The remaining task is to describe the internal structure of the second level DP processing element. Figure 4 (b) shows the processing element. The trapezoidal block represents comparators. The block chooses the smaller of D(s, e)+ D l 1 (s 1) and E l (s 1) for M clock. At M+1clock it updates D l (s) with the register value, E l (s). Notice that no multiplier is involved in this design, or in other parts of the system. As shown in Fig. 4 (b), the processing elements of the second processing element group sequentially receive the value of D(s, e) from the memory module, and concurrently receive the value of D l 1 (s 1) calculated and stored in the register. While M clock signals are applied, these two types of input values D(s, e) and D l 1 (s 1), are transmitted to the processing elements, the minimum one D l (e) is selected among the sums of the two inputs, and the value of D l (e) is output when the (M + 1)th clock signal is applied. The output value of D l (e) is stored in the register, and the matching cost thereof with the (l + 1)th reference pattern is calculated. That is, the processing elements repeatedly calculate the matching costs with the reference patterns during the M clock signals to provide update results to the register at the (M + 1)th clock signal. After this has been done for L max, the final matching cost is found by using all the D l (e) values stored in the register.

6 KIM and JEONG: A SYSTOLIC FPGA ARCHITECTURE 567 The backtracking module performs traceback on the reference patterns stored in the memory by using the final matching cost D l (e), and extracts a corresponding reference index, thereby recognizing the speech signals. 4. System Implementation and Experimental Results The system configuration is shown in Fig. 5. The speech signal is bandlimited and sampled at 16 KHz with 12 bits. Feature extraction gives the Mel Frequency Cepstral Coeffients (MFCCs) of 13-dimension vector, each with 12 bits from pre-processing data. The HMM parameters were extracted using the feature vectors in preprocessor and the trained data in memory. The pattern matching element chooses the reference that matches the signal parameters set from the input with the HMM and TLDP algorithms. The system is designed for an FPGA (Xilinx Virtex-II XC2V8000) running at 33 MHz. The entire chip is designed with VHDL code, fully tested and error free. The following experimental results are all based upon the VHDL simulation. The chip has been simulated extensively with the Cadence simulation tools. It is designed to interface with the PLX9656 PCI chip which has a maximum clock frequency of 66 MHz. The PLX9656 PCI is used within a PC with a Pentium 4, 3.06 GHz processor. The full design (M = 40) occupies 44,027 of the XC2V8000 s slices, equal to 94%, requiring 87,394 LUTs and 52,391 FFs (See Table 2). The speech data used for the testing and training were taken from the database designed by the Speech Technology Research Center of Korea. Both the test and training groups consisted of 10 male and 10 female speakers. We have achieved a very good performance with a 91.4% correctness rate in a vocabulary of more than 500 words. 5. Conclusion Hardware needs different algorithms for the same application in terms of performance and quality. Since the algorithms used for hardware and software implementation differ significantly, it will be difficult, if not impossible, to migrate software implementations directly to hardware implementations. We have presented a fast and efficient architecture and implementation of a previously presented TLDP algorithm. A systolic TDLP was derived and tested with VHDL code simulation. This scheme is fast and reliable since the architectures are highly regular. In addition, the processing can be done in real time owing to the parallel hardware implementation. A full scale system can be easily obtained by scaling the number of processing elements and the number of words [2] B.-S. Kim, B. Park, J.-D. Cho, and Y.-H. Chang, Low power Viterbi search architecture using inverse hidden Markov model, Signal Processing Systems, SiPS IEEE Workshop on, pp , [3] F.L. Vargas, R.D.R. Fagundes, and D.B. Junior, A FPGA-based Viterbi algorithm implementation for speech recognition systems, Acoustics, Speech, and Signal Processing, Proceedings. (ICASSP 01) IEEE International Conference on, vol.2, pp , [4] J.M. Jou, Y.-H. Shiau, and C.-J. Huang, An efficient VLSI architecture for HMM-based speech recognition, Electronics, Circuit and Systems, ICECS The 8th IEEE International Conference, vol.1, pp , [5] B.-G. Park, K.-S. Cho, and J.-D. Cho, Low power VLSI architecture of Viterbi scorer for HMM-based isolated word recognition, Quality Electronic Design, Proceedings. International Symposium on, pp , [6] S. Yoshizawa, Y. Miyanaga, and N. Wada, A low-power VLSI design of an HMM based speech recognition system, Circuits and Systems, MWSCAS The th Midwest Symposium on, vol.2, pp.ii-489 II-492, [7] W. Han, K.-W. Hon, C.-F. Chan, T. Lee, C.-S. Choy, K.-P. Pun, and P.C. Ching, An HMM-based speech recognition IC, Circuits and Systems, ISCAS 03. Proceedings of the 2003 International Symposium on, vol.2, pp.ii-744 II-747, [8] F.A. Elmisery, A.H. Khalil, A.E. Salama, and H.F. Hammed, A FPGA-based HMM for a discrete Arabic speech recognition system, Microelectronics, ICM Proceedings of the 15th International Conference on, pp , [9] G.C. Caradarilli, A. Malatesta, M. Re, L. Arnone, and S. Bocchio, Hardware oriented architectures for continuous-speech speakerindependent ASR systems, Signal Processing and Information Technology, Proceedings of the Fourth IEEE International Symposium on, pp , Dec [10] L.R. Rabiner, A tutorial on hidden Markov models and selected applications in speech recognition, Proc. IEEE, vol.77, no.2, pp , [11] L. Rabiner and B.-H. Juang, Fundamentals of Speech Recognition, pp , Prentice-Hall, [12] H. Sakoe, Two-level DP-matching A dynamic programming-based pattern matching algorithm for connected word recognition, IEEE Trans. Acoust. Speech Signal Process., vol.27, no.6, pp , Dec [13] S. Nakagawa, A connected spoken word recognition method by O(n) dynamic programming pattern matching algorithm, IEEE International Conference on ASSP, vol.8, pp , April [14] H. Ney, A comparative study of two search strategies for connected word recognition: Dynamic programming and heuristic search, IEEE Trans. Pattern Anal. Mach. Intell., vol.14, no.5, pp , May [15] L.R. Rabiner and R.W. Schafer, Digital Processing of Speech Signals, Prentice-Hall, References [1] V. Upadhyaya, S.J. Upadhyaya, and A. Kundu, A parallel VLSI implementation of Viterbi algorithm for accelerated word recognition, VLSI, Design Automation of High Performance VLSI Systems, Proceedings. Third Great Lakes Symposium on, pp.37 41,

7 568 Yong Kim received the BS degree from the MSE and EE Dept. at POSTECH, in 2000, and the MS degree from the EE Dept. at POSTECH in Since 2002, he has been working towards the PhD degree at POSTECH. His current research interests include speech signal processing. Hong Jeong received the BS degree from the EE Dept at the Seoul National University in In 1979, he received the MS degree from the EE Dept. at KAIST. During , he received the SM, EE, and PhD degrees in EECS Dept. from MIT. During the period of , he taught at the Kyungbuk National University. Since 1988, he has worked at POSTECH, where he is now an associate professor. During , he worked in the Bell Labs at Murray Hill. During , he was on leave for USC as a visiting professor. His major research area is multimedia signal processing.

Implementing a Hidden Markov Model Speech Recognition System in Programmable Logic

Implementing a Hidden Markov Model Speech Recognition System in Programmable Logic Implementing a Hidden Markov Model Speech Recognition System in Programmable Logic S.J. Melnikoff, S.F. Quigley & M.J. Russell School of Electronic and Electrical Engineering, University of Birmingham,

More information

Software/Hardware Co-Design of HMM Based Isolated Digit Recognition System

Software/Hardware Co-Design of HMM Based Isolated Digit Recognition System 154 JOURNAL OF COMPUTERS, VOL. 4, NO. 2, FEBRUARY 2009 Software/Hardware Co-Design of HMM Based Isolated Digit Recognition System V. Amudha, B.Venkataramani, R. Vinoth kumar and S. Ravishankar Department

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

Design of Feature Extraction Circuit for Speech Recognition Applications

Design of Feature Extraction Circuit for Speech Recognition Applications Design of Feature Extraction Circuit for Speech Recognition Applications SaambhaviVB, SSSPRao and PRajalakshmi Indian Institute of Technology Hyderabad Email: ee10m09@iithacin Email: sssprao@cmcltdcom

More information

AN FFT PROCESSOR BASED ON 16-POINT MODULE

AN FFT PROCESSOR BASED ON 16-POINT MODULE AN FFT PROCESSOR BASED ON 6-POINT MODULE Weidong Li, Mark Vesterbacka and Lars Wanhammar Electronics Systems, Dept. of EE., Linköping University SE-58 8 LINKÖPING, SWEDEN E-mail: {weidongl, markv, larsw}@isy.liu.se,

More information

The Efficient Implementation of Numerical Integration for FPGA Platforms

The Efficient Implementation of Numerical Integration for FPGA Platforms Website: www.ijeee.in (ISSN: 2348-4748, Volume 2, Issue 7, July 2015) The Efficient Implementation of Numerical Integration for FPGA Platforms Hemavathi H Department of Electronics and Communication Engineering

More information

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS 1 RONNIE O. SERFA JUAN, 2 CHAN SU PARK, 3 HI SEOK KIM, 4 HYEONG WOO CHA 1,2,3,4 CheongJu University E-maul: 1 engr_serfs@yahoo.com,

More information

Intelligent Hands Free Speech based SMS System on Android

Intelligent Hands Free Speech based SMS System on Android Intelligent Hands Free Speech based SMS System on Android Gulbakshee Dharmale 1, Dr. Vilas Thakare 3, Dr. Dipti D. Patil 2 1,3 Computer Science Dept., SGB Amravati University, Amravati, INDIA. 2 Computer

More information

Optimization of HMM by the Tabu Search Algorithm

Optimization of HMM by the Tabu Search Algorithm JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 20, 949-957 (2004) Optimization of HMM by the Tabu Search Algorithm TSONG-YI CHEN, XIAO-DAN MEI *, JENG-SHYANG PAN AND SHENG-HE SUN * Department of Electronic

More information

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression Divakara.S.S, Research Scholar, J.S.S. Research Foundation, Mysore Cyril Prasanna Raj P Dean(R&D), MSEC, Bangalore Thejas

More information

High Speed Pipelined Architecture for Adaptive Median Filter

High Speed Pipelined Architecture for Adaptive Median Filter Abstract High Speed Pipelined Architecture for Adaptive Median Filter D.Dhanasekaran, and **Dr.K.Boopathy Bagan *Assistant Professor, SVCE, Pennalur,Sriperumbudur-602105. **Professor, Madras Institute

More information

Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA

Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA Arash Nosrat Faculty of Engineering Shahid Chamran University Ahvaz, Iran Yousef S. Kavian

More information

Chapter 3. Speech segmentation. 3.1 Preprocessing

Chapter 3. Speech segmentation. 3.1 Preprocessing , as done in this dissertation, refers to the process of determining the boundaries between phonemes in the speech signal. No higher-level lexical information is used to accomplish this. This chapter presents

More information

A Performance Evaluation of HMM and DTW for Gesture Recognition

A Performance Evaluation of HMM and DTW for Gesture Recognition A Performance Evaluation of HMM and DTW for Gesture Recognition Josep Maria Carmona and Joan Climent Barcelona Tech (UPC), Spain Abstract. It is unclear whether Hidden Markov Models (HMMs) or Dynamic Time

More information

Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications

Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications 46 IJCSNS International Journal of Computer Science and Network Security, VOL.8 No.3, March 2008 Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications

More information

A VLSI Architecture for H.264/AVC Variable Block Size Motion Estimation

A VLSI Architecture for H.264/AVC Variable Block Size Motion Estimation Journal of Automation and Control Engineering Vol. 3, No. 1, February 20 A VLSI Architecture for H.264/AVC Variable Block Size Motion Estimation Dam. Minh Tung and Tran. Le Thang Dong Center of Electrical

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

Multi-Modal Human Verification Using Face and Speech

Multi-Modal Human Verification Using Face and Speech 22 Multi-Modal Human Verification Using Face and Speech Changhan Park 1 and Joonki Paik 2 1 Advanced Technology R&D Center, Samsung Thales Co., Ltd., 2 Graduate School of Advanced Imaging Science, Multimedia,

More information

Novel design of multiplier-less FFT processors

Novel design of multiplier-less FFT processors Signal Processing 8 (00) 140 140 www.elsevier.com/locate/sigpro Novel design of multiplier-less FFT processors Yuan Zhou, J.M. Noras, S.J. Shepherd School of EDT, University of Bradford, Bradford, West

More information

Pitch Prediction from Mel-frequency Cepstral Coefficients Using Sparse Spectrum Recovery

Pitch Prediction from Mel-frequency Cepstral Coefficients Using Sparse Spectrum Recovery Pitch Prediction from Mel-frequency Cepstral Coefficients Using Sparse Spectrum Recovery Achuth Rao MV, Prasanta Kumar Ghosh SPIRE LAB Electrical Engineering, Indian Institute of Science (IISc), Bangalore,

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

Acoustic to Articulatory Mapping using Memory Based Regression and Trajectory Smoothing

Acoustic to Articulatory Mapping using Memory Based Regression and Trajectory Smoothing Acoustic to Articulatory Mapping using Memory Based Regression and Trajectory Smoothing Samer Al Moubayed Center for Speech Technology, Department of Speech, Music, and Hearing, KTH, Sweden. sameram@kth.se

More information

An efficient multiplierless approximation of the fast Fourier transform using sum-of-powers-of-two (SOPOT) coefficients

An efficient multiplierless approximation of the fast Fourier transform using sum-of-powers-of-two (SOPOT) coefficients Title An efficient multiplierless approximation of the fast Fourier transm using sum-of-powers-of-two (SOPOT) coefficients Author(s) Chan, SC; Yiu, PM Citation Ieee Signal Processing Letters, 2002, v.

More information

Invariant Recognition of Hand-Drawn Pictograms Using HMMs with a Rotating Feature Extraction

Invariant Recognition of Hand-Drawn Pictograms Using HMMs with a Rotating Feature Extraction Invariant Recognition of Hand-Drawn Pictograms Using HMMs with a Rotating Feature Extraction Stefan Müller, Gerhard Rigoll, Andreas Kosmala and Denis Mazurenok Department of Computer Science, Faculty of

More information

Performance of Constant Addition Using Enhanced Flagged Binary Adder

Performance of Constant Addition Using Enhanced Flagged Binary Adder Performance of Constant Addition Using Enhanced Flagged Binary Adder Sangeetha A UG Student, Department of Electronics and Communication Engineering Bannari Amman Institute of Technology, Sathyamangalam,

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter

Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter Tradeoff Analysis and Architecture Design of a Hybrid Hardware/Software Sorter M. Bednara, O. Beyer, J. Teich, R. Wanka Paderborn University D-33095 Paderborn, Germany bednara,beyer,teich @date.upb.de,

More information

Mono-font Cursive Arabic Text Recognition Using Speech Recognition System

Mono-font Cursive Arabic Text Recognition Using Speech Recognition System Mono-font Cursive Arabic Text Recognition Using Speech Recognition System M.S. Khorsheed Computer & Electronics Research Institute, King AbdulAziz City for Science and Technology (KACST) PO Box 6086, Riyadh

More information

ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 02, August 2011

ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 02, August 2011 DOI: 01.IJEPE.02.02.69 ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 02, August 2011 Dynamic Spectrum Derived Mfcc and Hfcc Parameters and Human Robot Speech Interaction Krishna Kumar

More information

FPGA Implementation of Discrete Fourier Transform Using CORDIC Algorithm

FPGA Implementation of Discrete Fourier Transform Using CORDIC Algorithm AMSE JOURNALS-AMSE IIETA publication-2017-series: Advances B; Vol. 60; N 2; pp 332-337 Submitted Apr. 04, 2017; Revised Sept. 25, 2017; Accepted Sept. 30, 2017 FPGA Implementation of Discrete Fourier Transform

More information

SPEECH recognition based on a hidden Markov model

SPEECH recognition based on a hidden Markov model 1656 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 8, AUGUST 2012 A 40 nm 144 mw VLSI Processor for Real-Time 60-kWord Continuous Speech Recognition Guangji He, Student Member,

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

A SCALABLE COMPUTING AND MEMORY ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION ON FIELD-PROGRAMMABLE GATE ARRAYS. Theepan Moorthy and Andy Ye

A SCALABLE COMPUTING AND MEMORY ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION ON FIELD-PROGRAMMABLE GATE ARRAYS. Theepan Moorthy and Andy Ye A SCALABLE COMPUTING AND MEMORY ARCHITECTURE FOR VARIABLE BLOCK SIZE MOTION ESTIMATION ON FIELD-PROGRAMMABLE GATE ARRAYS Theepan Moorthy and Andy Ye Department of Electrical and Computer Engineering Ryerson

More information

Speech recognition on an FPGA using discrete and continuous hidden Markov models Melnikoff, Stephen; Quigley, Steven; Russell, Martin

Speech recognition on an FPGA using discrete and continuous hidden Markov models Melnikoff, Stephen; Quigley, Steven; Russell, Martin Speech recognition on an FPGA using discrete and continuous hidden Markov models Melnikoff, Stephen; Quigley, Steven; Russell, Martin Document Version Peer reviewed version Citation for published version

More information

IEEE-754 compliant Algorithms for Fast Multiplication of Double Precision Floating Point Numbers

IEEE-754 compliant Algorithms for Fast Multiplication of Double Precision Floating Point Numbers International Journal of Research in Computer Science ISSN 2249-8257 Volume 1 Issue 1 (2011) pp. 1-7 White Globe Publications www.ijorcs.org IEEE-754 compliant Algorithms for Fast Multiplication of Double

More information

A hardware design of optimized ORB algorithm with reduced hardware cost

A hardware design of optimized ORB algorithm with reduced hardware cost , pp.58-62 http://dx.doi.org/10.14257/astl.2013 A hardware design of optimized ORB algorithm with reduced hardware cost Kwang-yeob Lee 1, Kyung-jin Byun 2 1 Dept. of Computer Engineering, Seokyenog University,

More information

FPGA Implementation of Multiplier for Floating- Point Numbers Based on IEEE Standard

FPGA Implementation of Multiplier for Floating- Point Numbers Based on IEEE Standard FPGA Implementation of Multiplier for Floating- Point Numbers Based on IEEE 754-2008 Standard M. Shyamsi, M. I. Ibrahimy, S. M. A. Motakabber and M. R. Ahsan Dept. of Electrical and Computer Engineering

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

PAPER Optimal Quantization Parameter Set for MPEG-4 Bit-Rate Control

PAPER Optimal Quantization Parameter Set for MPEG-4 Bit-Rate Control 3338 PAPER Optimal Quantization Parameter Set for MPEG-4 Bit-Rate Control Dong-Wan SEO, Seong-Wook HAN, Yong-Goo KIM, and Yoonsik CHOE, Nonmembers SUMMARY In this paper, we propose an optimal bit rate

More information

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier U.V.N.S.Suhitha Student Department of ECE, BVC College of Engineering, AP, India. Abstract: The ever growing need for improved

More information

Ergodic Hidden Markov Models for Workload Characterization Problems

Ergodic Hidden Markov Models for Workload Characterization Problems Ergodic Hidden Markov Models for Workload Characterization Problems Alfredo Cuzzocrea DIA Dept., University of Trieste and ICAR-CNR, Italy alfredo.cuzzocrea@dia.units.it Enzo Mumolo DIA Dept., University

More information

International Journal of Computer Sciences and Engineering. Research Paper Volume-6, Issue-2 E-ISSN:

International Journal of Computer Sciences and Engineering. Research Paper Volume-6, Issue-2 E-ISSN: International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-6, Issue-2 E-ISSN: 2347-2693 Implementation Sobel Edge Detector on FPGA S. Nandy 1*, B. Datta 2, D. Datta 3

More information

An Optimized Montgomery Modular Multiplication Algorithm for Cryptography

An Optimized Montgomery Modular Multiplication Algorithm for Cryptography 118 IJCSNS International Journal of Computer Science and Network Security, VOL.13 No.1, January 2013 An Optimized Montgomery Modular Multiplication Algorithm for Cryptography G.Narmadha 1 Asst.Prof /ECE,

More information

Abstract. Literature Survey. Introduction. A.Radix-2/8 FFT algorithm for length qx2 m DFTs

Abstract. Literature Survey. Introduction. A.Radix-2/8 FFT algorithm for length qx2 m DFTs Implementation of Split Radix algorithm for length 6 m DFT using VLSI J.Nancy, PG Scholar,PSNA College of Engineering and Technology; S.Bharath,Assistant Professor,PSNA College of Engineering and Technology;J.Wilson,Assistant

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

International Journal for Research in Applied Science & Engineering Technology (IJRASET) IIR filter design using CSA for DSP applications

International Journal for Research in Applied Science & Engineering Technology (IJRASET) IIR filter design using CSA for DSP applications IIR filter design using CSA for DSP applications Sagara.K.S 1, Ravi L.S 2 1 PG Student, Dept. of ECE, RIT, Hassan, 2 Assistant Professor Dept of ECE, RIT, Hassan Abstract- In this paper, a design methodology

More information

High Speed Special Function Unit for Graphics Processing Unit

High Speed Special Function Unit for Graphics Processing Unit High Speed Special Function Unit for Graphics Processing Unit Abd-Elrahman G. Qoutb 1, Abdullah M. El-Gunidy 1, Mohammed F. Tolba 1, and Magdy A. El-Moursy 2 1 Electrical Engineering Department, Fayoum

More information

Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression

Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression Volume 01, No. 01 www.semargroups.org Jul-Dec 2012, P.P. 60-66 Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression A.PAVANI 1,C.HEMASUNDARA RAO 2,A.BALAJI

More information

A Polygon Rendering Method with Precomputed Information

A Polygon Rendering Method with Precomputed Information A Polygon Rendering Method with Precomputed Information Seunghyun Park #1, Byoung-Woo Oh #2 # Department of Computer Engineering, Kumoh National Institute of Technology, Korea 1 seunghyunpark12@gmail.com

More information

A Network Storage LSI Suitable for Home Network

A Network Storage LSI Suitable for Home Network 258 HAN-KYU LIM et al : A NETWORK STORAGE LSI SUITABLE FOR HOME NETWORK A Network Storage LSI Suitable for Home Network Han-Kyu Lim*, Ji-Ho Han**, and Deog-Kyoon Jeong*** Abstract Storage over (SoE) is

More information

Rapid Prototyping System for Teaching Real-Time Digital Signal Processing

Rapid Prototyping System for Teaching Real-Time Digital Signal Processing IEEE TRANSACTIONS ON EDUCATION, VOL. 43, NO. 1, FEBRUARY 2000 19 Rapid Prototyping System for Teaching Real-Time Digital Signal Processing Woon-Seng Gan, Member, IEEE, Yong-Kim Chong, Wilson Gong, and

More information

Fast Evaluation of the Square Root and Other Nonlinear Functions in FPGA

Fast Evaluation of the Square Root and Other Nonlinear Functions in FPGA Edith Cowan University Research Online ECU Publications Pre. 20 2008 Fast Evaluation of the Square Root and Other Nonlinear Functions in FPGA Stefan Lachowicz Edith Cowan University Hans-Joerg Pfleiderer

More information

Simulation & Synthesis of FPGA Based & Resource Efficient Matrix Coprocessor Architecture

Simulation & Synthesis of FPGA Based & Resource Efficient Matrix Coprocessor Architecture Simulation & Synthesis of FPGA Based & Resource Efficient Matrix Coprocessor Architecture Jai Prakash Mishra 1, Mukesh Maheshwari 2 1 M.Tech Scholar, Electronics & Communication Engineering, JNU Jaipur,

More information

A Visualization Tool to Improve the Performance of a Classifier Based on Hidden Markov Models

A Visualization Tool to Improve the Performance of a Classifier Based on Hidden Markov Models A Visualization Tool to Improve the Performance of a Classifier Based on Hidden Markov Models Gleidson Pegoretti da Silva, Masaki Nakagawa Department of Computer and Information Sciences Tokyo University

More information

Memory-Efficient and High-Speed Line-Based Architecture for 2-D Discrete Wavelet Transform with Lifting Scheme

Memory-Efficient and High-Speed Line-Based Architecture for 2-D Discrete Wavelet Transform with Lifting Scheme Proceedings of the 7th WSEAS International Conference on Multimedia Systems & Signal Processing, Hangzhou, China, April 5-7, 007 3 Memory-Efficient and High-Speed Line-Based Architecture for -D Discrete

More information

DESIGN AND IMPLEMENTATION OF DA- BASED RECONFIGURABLE FIR DIGITAL FILTER USING VERILOGHDL

DESIGN AND IMPLEMENTATION OF DA- BASED RECONFIGURABLE FIR DIGITAL FILTER USING VERILOGHDL DESIGN AND IMPLEMENTATION OF DA- BASED RECONFIGURABLE FIR DIGITAL FILTER USING VERILOGHDL [1] J.SOUJANYA,P.G.SCHOLAR, KSHATRIYA COLLEGE OF ENGINEERING,NIZAMABAD [2] MR. DEVENDHER KANOOR,M.TECH,ASSISTANT

More information

Design of memory efficient FIFO-based merge sorter

Design of memory efficient FIFO-based merge sorter LETTER IEICE Electronics Express, Vol.15, No.5, 1 11 Design of memory efficient FIFO-based merge sorter Youngil Kim a), Seungdo Choi, and Yong Ho Song Department of Electronics and Computer Engineering,

More information

Implementation of Galois Field Arithmetic Unit on FPGA

Implementation of Galois Field Arithmetic Unit on FPGA Implementation of Galois Field Arithmetic Unit on FPGA 1 LakhendraKumar, 2 Dr. K. L. Sudha 1 B.E project scholar, VIII SEM, Dept. of E&C, DSCE, Bangalore, India 2 Professor, Dept. of E&C, DSCE, Bangalore,

More information

Hardware Acceleration of Edge Detection Algorithm on FPGAs

Hardware Acceleration of Edge Detection Algorithm on FPGAs Hardware Acceleration of Edge Detection Algorithm on FPGAs Muthukumar Venkatesan and Daggu Venkateshwar Rao Department of Electrical and Computer Engineering University of Nevada Las Vegas. Las Vegas NV

More information

High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm

High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm Atish A. Peshattiwar & Tejaswini G. Panse Department of Electronics Engineering, Yeshwantrao Chavan College of Engineering, E-mail : atishp32@gmail.com,

More information

Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm

Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm 157 Implementation Of Quadratic Rotation Decomposition Based Recursive Least Squares Algorithm Manpreet Singh 1, Sandeep Singh Gill 2 1 University College of Engineering, Punjabi University, Patiala-India

More information

Simultaneous Design of Feature Extractor and Pattern Classifer Using the Minimum Classification Error Training Algorithm

Simultaneous Design of Feature Extractor and Pattern Classifer Using the Minimum Classification Error Training Algorithm Griffith Research Online https://research-repository.griffith.edu.au Simultaneous Design of Feature Extractor and Pattern Classifer Using the Minimum Classification Error Training Algorithm Author Paliwal,

More information

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter African Journal of Basic & Applied Sciences 9 (1): 53-58, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.53.58 Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm

More information

2-2-2, Hikaridai, Seika-cho, Soraku-gun, Kyoto , Japan 2 Graduate School of Information Science, Nara Institute of Science and Technology

2-2-2, Hikaridai, Seika-cho, Soraku-gun, Kyoto , Japan 2 Graduate School of Information Science, Nara Institute of Science and Technology ISCA Archive STREAM WEIGHT OPTIMIZATION OF SPEECH AND LIP IMAGE SEQUENCE FOR AUDIO-VISUAL SPEECH RECOGNITION Satoshi Nakamura 1 Hidetoshi Ito 2 Kiyohiro Shikano 2 1 ATR Spoken Language Translation Research

More information

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Yun R. Qu, Viktor K. Prasanna Ming Hsieh Dept. of Electrical Engineering University of Southern California Los Angeles, CA 90089

More information

An Efficient Implementation of Floating Point Multiplier

An Efficient Implementation of Floating Point Multiplier An Efficient Implementation of Floating Point Multiplier Mohamed Al-Ashrafy Mentor Graphics Mohamed_Samy@Mentor.com Ashraf Salem Mentor Graphics Ashraf_Salem@Mentor.com Wagdy Anis Communications and Electronics

More information

High Throughput Energy Efficient Parallel FFT Architecture on FPGAs

High Throughput Energy Efficient Parallel FFT Architecture on FPGAs High Throughput Energy Efficient Parallel FFT Architecture on FPGAs Ren Chen Ming Hsieh Department of Electrical Engineering University of Southern California Los Angeles, USA 989 Email: renchen@usc.edu

More information

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems RAVI KUMAR SATZODA, CHIP-HONG CHANG and CHING-CHUEN JONG Centre for High Performance Embedded Systems Nanyang Technological University

More information

FPGA Based Low Area Motion Estimation with BISCD Architecture

FPGA Based Low Area Motion Estimation with BISCD Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3 Issue 10 October, 2014 Page No. 8610-8614 FPGA Based Low Area Motion Estimation with BISCD Architecture R.Pragathi,

More information

High-Performance FIR Filter Architecture for Fixed and Reconfigurable Applications

High-Performance FIR Filter Architecture for Fixed and Reconfigurable Applications High-Performance FIR Filter Architecture for Fixed and Reconfigurable Applications Pallavi R. Yewale ME Student, Dept. of Electronics and Tele-communication, DYPCOE, Savitribai phule University, Pune,

More information

High Performance Architecture for Reciprocal Function Evaluation on Virtex II FPGA

High Performance Architecture for Reciprocal Function Evaluation on Virtex II FPGA EurAsia-ICT 00, Shiraz-Iran, 9-31 Oct. High Performance Architecture for Reciprocal Function Evaluation on Virtex II FPGA M. Anane, H. Bessalah and N. Anane Centre de Développement des Technologies Avancées

More information

Robust DTW-based Recognition Algorithm for Hand-held Consumer Devices

Robust DTW-based Recognition Algorithm for Hand-held Consumer Devices C. Kim and K.-d. Seo: Robust DTW-based Recognition for Hand-held Consumer Devices Robust DTW-based Recognition for Hand-held Consumer Devices 699 Chanwoo Kim and Kwang-deok Seo, Member, IEEE Abstract This

More information

Design and Implementation of Low-Complexity Redundant Multiplier Architecture for Finite Field

Design and Implementation of Low-Complexity Redundant Multiplier Architecture for Finite Field Design and Implementation of Low-Complexity Redundant Multiplier Architecture for Finite Field Veerraju kaki Electronics and Communication Engineering, India Abstract- In the present work, a low-complexity

More information

Speech Recognition Lecture 8: Acoustic Models. Eugene Weinstein Google, NYU Courant Institute Slide Credit: Mehryar Mohri

Speech Recognition Lecture 8: Acoustic Models. Eugene Weinstein Google, NYU Courant Institute Slide Credit: Mehryar Mohri Speech Recognition Lecture 8: Acoustic Models. Eugene Weinstein Google, NYU Courant Institute eugenew@cs.nyu.edu Slide Credit: Mehryar Mohri Speech Recognition Components Acoustic and pronunciation model:

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 10 /Issue 1 / JUN 2018

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 10 /Issue 1 / JUN 2018 A HIGH-PERFORMANCE FIR FILTER ARCHITECTURE FOR FIXED AND RECONFIGURABLE APPLICATIONS S.Susmitha 1 T.Tulasi Ram 2 susmitha449@gmail.com 1 ramttr0031@gmail.com 2 1M. Tech Student, Dept of ECE, Vizag Institute

More information

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A.S. Sneka Priyaa PG Scholar Government College of Technology Coimbatore ABSTRACT The Least Mean Square Adaptive Filter is frequently

More information

VLSI IMPLEMENTATION AND PERFORMANCE ANALYSIS OF EFFICIENT MIXED-RADIX 8-2 FFT ALGORITHM WITH BIT REVERSAL FOR THE OUTPUT SEQUENCES.

VLSI IMPLEMENTATION AND PERFORMANCE ANALYSIS OF EFFICIENT MIXED-RADIX 8-2 FFT ALGORITHM WITH BIT REVERSAL FOR THE OUTPUT SEQUENCES. VLSI IMPLEMENTATION AND PERFORMANCE ANALYSIS OF EFFICIENT MIXED-RADIX 8-2 ALGORITHM WITH BIT REVERSAL FOR THE OUTPUT SEQUENCES. M. MOHAMED ISMAIL Dr. M.J.S RANGACHAR Dr.Ch. D. V. PARADESI RAO (Research

More information

FPGA Polyphase Filter Bank Study & Implementation

FPGA Polyphase Filter Bank Study & Implementation FPGA Polyphase Filter Bank Study & Implementation Raghu Rao Matthieu Tisserand Mike Severa Prof. John Villasenor Image Communications/. Electrical Engineering Dept. UCLA 1 Introduction This document describes

More information

Mobile Robot Path Planning Software and Hardware Implementations

Mobile Robot Path Planning Software and Hardware Implementations Mobile Robot Path Planning Software and Hardware Implementations Lucia Vacariu, Flaviu Roman, Mihai Timar, Tudor Stanciu, Radu Banabic, Octavian Cret Computer Science Department, Technical University of

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information

Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm

Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm International Journal of Scientific and Research Publications, Volume 3, Issue 8, August 2013 1 Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm MUCHHUMARRI SANTHI LATHA*, Smt. D.LALITHA KUMARI**

More information

INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS.

INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS. INTEGER SEQUENCE WINDOW BASED RECONFIGURABLE FIR FILTERS Arulalan Rajan 1, H S Jamadagni 1, Ashok Rao 2 1 Centre for Electronics Design and Technology, Indian Institute of Science, India (mrarul,hsjam)@cedt.iisc.ernet.in

More information

Volume 5, Issue 5 OCT 2016

Volume 5, Issue 5 OCT 2016 DESIGN AND IMPLEMENTATION OF REDUNDANT BASIS HIGH SPEED FINITE FIELD MULTIPLIERS Vakkalakula Bharathsreenivasulu 1 G.Divya Praneetha 2 1 PG Scholar, Dept of VLSI & ES, G.Pullareddy Eng College,kurnool

More information

Spoken Document Retrieval (SDR) for Broadcast News in Indian Languages

Spoken Document Retrieval (SDR) for Broadcast News in Indian Languages Spoken Document Retrieval (SDR) for Broadcast News in Indian Languages Chirag Shah Dept. of CSE IIT Madras Chennai - 600036 Tamilnadu, India. chirag@speech.iitm.ernet.in A. Nayeemulla Khan Dept. of CSE

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

IJETST- Vol. 03 Issue 05 Pages May ISSN

IJETST- Vol. 03 Issue 05 Pages May ISSN International Journal of Emerging Trends in Science and Technology Implementation of MFCC Extraction Architecture and DTW Technique in Speech Recognition System R.M.Sneha 1, K.L.Hemalatha 2 1 PG Student,

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 1: Introduction Dr. Mohamed Abd El Ghany, Mohamed.abdel-ghany@guc.edu.eg Administrative Rules Course components: Lecture: Thursday (fourth slot), 13:15-14:45 (H8) Office

More information

A Library of Parameterized Floating-point Modules and Their Use

A Library of Parameterized Floating-point Modules and Their Use A Library of Parameterized Floating-point Modules and Their Use Pavle Belanović and Miriam Leeser Department of Electrical and Computer Engineering Northeastern University Boston, MA, 02115, USA {pbelanov,mel}@ece.neu.edu

More information

Optimization of Observation Membership Function By Particle Swarm Method for Enhancing Performances of Speaker Identification

Optimization of Observation Membership Function By Particle Swarm Method for Enhancing Performances of Speaker Identification Proceedings of the 6th WSEAS International Conference on SIGNAL PROCESSING, Dallas, Texas, USA, March 22-24, 2007 52 Optimization of Observation Membership Function By Particle Swarm Method for Enhancing

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Performance Evaluation & Design Methodologies for Automated CRC Checking for 32 bit address Using HDLC Block

Performance Evaluation & Design Methodologies for Automated CRC Checking for 32 bit address Using HDLC Block Performance Evaluation & Design Methodologies for Automated CRC Checking for 32 bit address Using HDLC Block 32 Bit Neeraj Kumar Misra, (Assistant professor, Dept. of ECE, R D Foundation Group of Institution

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models

A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models A Scalable Speech Recognizer with Deep-Neural-Network Acoustic Models and Voice-Activated Power Gating Michael Price*, James Glass, Anantha Chandrakasan MIT, Cambridge, MA * now at Analog Devices, Cambridge,

More information

Appearance-Based Recognition of Words in American Sign Language

Appearance-Based Recognition of Words in American Sign Language Appearance-Based Recognition of Words in American Sign Language Morteza Zahedi, Daniel Keysers, and Hermann Ney Lehrstuhl für Informatik VI Computer Science Department RWTH Aachen University D-52056 Aachen,

More information

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation 0 PCI Arbiter (v1.00a) DS495 April 8, 2009 0 0 Introduction The PCI Arbiter provides arbitration for two to eight PCI master agents. Parametric selection determines the number of masters competing for

More information

HMM-Based Handwritten Amharic Word Recognition with Feature Concatenation

HMM-Based Handwritten Amharic Word Recognition with Feature Concatenation 009 10th International Conference on Document Analysis and Recognition HMM-Based Handwritten Amharic Word Recognition with Feature Concatenation Yaregal Assabie and Josef Bigun School of Information Science,

More information

University, Patiala, Punjab, India 1 2

University, Patiala, Punjab, India 1 2 1102 Design and Implementation of Efficient Adder based Floating Point Multiplier LOKESH BHARDWAJ 1, SAKSHI BAJAJ 2 1 Student, M.tech, VLSI, 2 Assistant Professor,Electronics and Communication Engineering

More information