Large Scale Circuit Placement: Gap and Promise

Size: px
Start display at page:

Download "Large Scale Circuit Placement: Gap and Promise"

Transcription

1 Large Scale Circuit Placement: Gap and Promise Jason Cong 1, Tim Kong 2, Joseph R. Shinnerl 1, Min Xie 1 and Xin Yuan 1 UCLA VLSI CAD LAB 1 Magma Design Automation 2

2 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Gate FPGA Placement

3 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Million Gate FPGA Placement

4 Why Still Placement Problem True, it has been studied over 30 years, but We need good solutions more then ever One of most important steps in IC implementation flow Directly defines interconnects Difficult Problem size grows 2X every months Moore s Law Cannot place hierarchically without quality degradation

5 Example of Logic Hierarchy in Final Layout By courtesy of IBM (Tony Drumm)

6 Why Still Placement True, it has been studied over 30 years, but We need good solutions more then ever One of most important steps in IC implementation flow Directly defines interconnects Difficult Problem size grows 2X every months Moore s Law Cannot place hierarchically without quality degradation We are not very good at it

7 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Million Gate FPGA Placement

8 Motivation Lack of significant progress in wirelength reduction Rate of reduction is about 5-10% every 2-3 years Latest developments in placement differ mainly in runtime Most work compare only with known heuristics Use real design based benchmarks Use synthetic benchmarks Little understanding about the divergence from the optimal

9 Placement Examples with Known Optimal Wirelength [Chang et al, 2003] Given a (real) netlist N Construct netlist N with known opt. WL and match the net distribution of N All the modules are of equal size, and there is no space between rows and adjacent modules For 2-pin nets, connect any two adjacent modules For each n-pin net, connect the n modules in a rectangular region close to a square, i.e., the length of each side is close to sqrt(n) The wirelength is of each n-pin net is given by n + n / n 2

10 Placement Examples with Known Upperbounds [Cong et al, 2003] Limitations of PEKO All the nets are local Wirelength contribution by global connections in real designs can be significant Extend PEKO by introducing non-local nets to mimic global connections Method 1: Generate a subset of i-pin nets by randomly connecting i modules on the chip Method 2: Generate a subset of i-pin nets according to wirelength distribution vector (WDV)

11 Illustration: PEKU Example Construction Input : t = 64, D = {d 2 =35,d 3 =21,d 4 =7,d 5 =4,d 6 =2, d 7 =1} a=0.2 W = {w 1 w 3 =0, w 4 =3, w 5 =3, w 6 = 0,w 7 =2,w 8 =2,w 9 =1, w 10 =0, w 11 =1, w 12 =1} Generate 28 2-pin optimally Generate 7 2-pin randomly Generate 16 3-pin optimally Generate 5 3-pin randomly Generate 6 4-pin optimally Generate 1 4-pin randomly Generate 4 5-pin optimally Generate 2 6-pin optimally Generate 1 7-pin optimally Total WL = 184

12 Studied Five State-of-the-Art Placers Capo [Caldwell et al, 2000] Based on multilevel partitioner Aims to enhance the routability Dragon [Wang et al, 2000] Uses hmetis for initial partition SA with bin-based swapping mpl [Chan et al, 2000] Nonlinear programming on the coarsest level Discrete relaxation at finer levels mpg [Chang et al, 2002] Uses FC clustering and hierarchical density control Incremental A-tree for routability Qplace [Cadence Inc.] Leading edge industrial placer Component of Silicon Ensemble

13 Experimental Results on PEKO Quality Ratio #cells Runtime(s) #cells Capo 8.6 Dragon 2.20 mpg 1.0 mpl 3.0 Qplace 5.1 Capo 8.6 Dragon 2.20 mpg 1.0 mpl 3.0 Qplace 5.1 Existing Algorithms can be 59% to 140% away from the optimal on PEKO On Examples with pads mpg and Qplace show improvement of 12% and 10% repectively Dragon, mpl, and Capo do not benefit much from the additional information There is significant room for improvement in placement algorithms

14 Experimental Results on PEKO Quality Ratio #cells Runtime(s) #cells Capo 8.6 Dragon 2.20 mpg 1.0 mpl 3.0 QPLace 5.1 Capo 8.6 Dragon 2.20 mpg 1.0 mpl 3.0 Qplace 5.1 Capo, QPlace and mpl scales well in runtime Average solution quality of each tool shows deterioration by an additional 9% to 17% when the problem size increases by a factor of 10

15 Experimental Results on PEKU Quality Ratio % of non-local nets Dragon 2.20 Capo 8.6 mpg 1.0 mpl 3.0 Qplace 5.1 The effectiveness of existing placers can vary significantly for circuits of similar size but different characteristics Comparing QRs helps to identify the technique that works best under each scenario QR (Placed Wirelength vs Upperbound) may not be tight

16 High Interest in the Community

17 Timing-driven Placement Examples with Known Optimal (TPEKO) Original longest path Artificial path Obtain a placement for the circuit from any available tool Perform timing analysis on the circuit Create an artificial combinational path with equal or larger delay than the longest path Guarantee the cells in the path are adjacent to each other Make necessary modifications

18 Quality Ratio Evaluating Timing-Driven Placement Algorithms Using TPEKO #longest path VPR PATH Evaluating two stateof-the-art FPGA placement algorithms VPR [Marquardt et al. 2000] PATH [Kong 2002] Can be far away from the optimal for difficult examples 35% on average 54% in the worst case

19 Observations from Gap Analysis Significant opportunity in placement Existing algorithms may produce solutions far away from the optimal The quality result of the same placer varies for circuits of similar size but different characteristic Scalability problem in runtime and solution quality Significant ROI Benefit equal to one to two generations of process scaling But without requiring multi-billion dollar investment (hopefully!)

20 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Million Gate FPGA Placement

21 Scalable Paradigms for Placement Assertion: some form of hierarchy is essential Three main paradigms: 1) Top-down Generalized recursive partitioning defines the hierarchy 2) Bottom-up (multilevel) 3) Flat Caveats Generalized recursive clustering defines the hierarchy Flat on the outside, but hierarchical internally Scalable may be slower than O(N), due to Moore s law Focus on global placement; assume scalability of legalization and detailed placement

22 Paradigm 1: Top-Down Placement Hierarchy Construction Cutsize-Minimizing Partitioning E.g., Capo, Feng-Shui, Dragon. Partitioning guided by wirelength-driven placements Start with a loosely constrained WL-driven solution; a quadratic objective function approximates weighted wirelength E.g., Gordian-L, BonnPlace Hierarchy Refinement The order in which subregions are partitioned matters especially under terminal propagation Can cells migrate across partition boundaries?

23 Cutsize-Driven Partitioning-Based Placement Cutsize = the number of nets not contained in just one side of the partition Rent s rule shows that wirelength and cutsize correlate to within about X2 log N [Wang et al, 2000]. Fast FM-style iterations with terminal propagation Careful cutline selection and multiway partitions can help e.g. Capo, Feng-Shui, Dragon

24 Cutsize-Driven Recursive Top-Down Partitioning After Initially, three two there stages, is only each netlist cell cell has has connectivity; been assigned no to spatial to one one of of four eight possible information subregions. is available. As few nets as possible have been cut. Apply Iterative a standard improvement partitioning by repartitioning algorithm to with the given terminal netlist. Multilevel partitioning propagation algorithms is essential. are the most effective.

25 Partitioning Guided by Approximate Placements Minimize a quadratic approximation to global wirelength Solve one large symmetric positive-definite linear system Pads prevent cells from collapsing to a single point Use the given placement to recursively partition cells Gordian-L: Minimize cutsize, but use the given placement to form initial partitions (e.g., using x- or y-coordinate median for cutline) New subregions generate new center-of mass constraints for subsequent iterations BonnPlace: To assign cells to subregions, minimize displacement from the given locations, not cutsize.

26 Example: Gordian-L-style Placement

27 Hierarchy Adjustments in Top-Down Placement by Iterative Refinement K-way partitioning followed by localized repartitioning with terminal propagation (Feng-Shui). Initial cutsize-driven quadrisection followed by bin swapping, each bin being a block of the partition, with wirelength-based annealing only at the finest level (Dragon). Unconstrained quadratic wirelength minimization over 2x2 windows of overlapping subregions, followed by repartioning inside each window (BonnPlace).

28 Paradigm 2: Multilevel Placement Coarsening: build the hierarchy by recursive aggregation (generalized clustering) Relaxation: improve the placement at each level by localized optimization Interpolation: transfer coarse-level solution to adjacent, finer level (generalized declustering) Multilevel Flow: multiple traversals over multiple hierarchies (V-cycle variations)

29 Multi-Level Optimization Framework Given problem Problem size decreases Coarsening (Clustering) Interpolation & Relaxation (optimization) Multilevel coarsening generates smaller problem sizes at coarser levels faster optimization at coarser levels May explore different aspects of the solution space at different levels Gradual refinement on good solutions from coarser levels is very efficient Successful in many applications Originally developed for PDEs Recent success in VLSI CAD: partitioning, placement, routing

30 Coarsening by clustering Multilevel Coarse Placement A bin grid structure at each level Hierarchical area density control Optimization by SA, QP, RDFL, etc. Initial Placement Refinement by placement

31 Multilevel Methods: Coarsening by Recursive Aggregation Recursive aggregation defines the hierarchy. Different aggregation algorithms can be used on different levels and/or in different V-cycles. Clustering methods First-Choice Clustering (hmetis [Karypis 1999]). AMG based aggregation An aggregate need not be a cluster. A cell can be fractionally associated to more than one aggregate Merge each vertex with its best neighbor Merged Nets

32 Multilevel Methods: Relaxation (Intralevel Optimization) Iterative improvement at each level by fast, localized computation Discrete permutation enumerations; swapping Unconstrained quadratic wirelength minimization on subsets Network-flow based improvement on subsets (RDFL) Local relaxation is sufficient. Global improvement comes from the multilevel hierarchy. Relaxations at finer levels may be quite different, e.g., more discrete, than relaxations at coarser levels.

33 Relaxation on Local Subsets Movable Cell Unrelated Cell Fixed Neighbor Original Subnetlist with Subproblem Move the red cells to their optimal positions, holding all other cells fixed and (perhaps) ignoring overlap

34 Example: Goto-based Discrete Relaxation Each cell s optimal location is readily calculated when all other cells are held fixed. Compute a chain A, B, C, D, E, where B is a randomly selected neighbor of A s optimal location, etc. Examine all permutations of the chain and take the best one. Problem: the chain is not closed (A is not necessarily near any other cell s optimal location).

35 Example: Quadratic Relaxation on Noncontiguous Subsets (QRS) Select a subset M of cells to move Identify other cells and pads, F, connected to M by nets in E M = { e E e M φ }. Decouple the horizontal and vertical problems. M is obtained as segments of length k along a DFS vertex traversal of the netlist

36 Solving the QRS subproblem Problem formulation (horizontal case): 2 ( x( v) x ) min e ( k ) ( k ) x ( v) x e E where M x v e e = 1 e v e x( v), small number. Iteratively solve the weighted quadratic minimization problem, using the current solution to determine the weight (as in Gordian-L) May result in cell overlap! e ε + ε is

37 Ripple-move legalization [Hur and Lillis, 2000] Because many forms of subset relaxation ignore overlap, postrelaxation cell swaps may be needed to remove overlap. Define a DAG on neighboring bins. Edge cost reflects the best wirelength gain over all cell swaps between two bins. Calculate a max-gain monotone path on the bin-grid graph

38 Multilevel Methods: Interpolation (Generalized Declustering) Goal: transfer a partial solution from a coarser level to its adjacent finer level Simplest approach: place all components of a cluster at its center Better approach: place each component of an aggregate at the weighted average of the aggregates to which it is strongly connected. Optionally: impose constraints; e.g., the average location of the components can be held fixed.

39 Interpolation (Declustering) Initial Coarsest Level Placement Declustering Placement Declustering Placement Final placement solution Use the same grid structure at each level Variable cluster size (may be bigger than a bin): handled by hierarchical area density control Multilevel SA engine: SA engine starts with a low temperature at each level except the coarsest level

40 AMG-style Linear Interpolation The inherited position of a cluster component ( ) can be determined by several cluster positions, not just its own. Place the C-Pt representatives Place the F-pts by weighted interpolation

41 Next finer level cells AMG-based Linear Interpolation [A. Brandt 1986] cluster constant AMG interpolation C-point Within each cluster, select the one with maximum degree as C-point; others are considered as F-points v i = Σ a v + Σ ij j C points v F points j v j a ij v j

42 Iterated Multilevel Flow Make use of placement solution from 1 st V-cycle First Choice (FC) clustering Geometric based FC clustering

43 Iterated Multilevel Flow Iterated V-Cycles F-Cycle Backtracking V-Cycle

44 Sample Impact of the Multilevel Components to mpl s overall quality First-Choice Clustering: QRS Relaxation: AMG Interpolation: Iterated V-cycles: 3 4% reduced WL 5 6% reduced WL 2 3% reduced WL 2 8% reduced WL

45 Extension: Multilevel Mixed-size Placement Level 0 Simultaneous place big and small objects Gradually fix the locations of big objects and generate overlap-free placement for big objects during multilevel placement Big objects legalization Level k Coarsest level placement small object big object fixed big object cluster

46 Example: Final Placement of ibm02 by mpg-ms

47 Paradigm 3: Embedded Multilevel Optimization Maintain a flat, nonhierarchical view of the placement problem No explicit aggregation or partitioning Use advanced hierarchical computation algorithms to perform internal iterations Example: AMG-accelerated force-directed methods Minimize weighted unconstrained quadratic wirelength Incorporate area-distribution gradients iteratively in the quadratic optimality condition (Kraftwerk) Employ algebraic multigrid (AMG) to solve the large linear systems for the optimality conditions at each step.

48 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Million Gate FPGA Placement

49 Timing Optimization Additional goal: to minimize longest-path delay or maximize the minimum slack. Difficulties: Exponential number of paths. Complex timing constraints multi-clock domain, multi-cycle, etc. Existing Algorithms Path-based algorithms Net-based algorithms

50 Path-based Algorithms Directly minimize the longest path delay. Popular approaches: Explicitly reduce the maximum length of a set of paths; the set could be pre-computed or dynamically adjusted. [Burstein & Youssef, 1985, Swartz & Sechen, 1995] 1 2 a b c 3 4 e d 5 6 D(i): edge delay (cell delay included) MAX { D(a)+D(c)+D(d) D(b)+D(c)+D(d) D(a)+D(c)+D(e) D(b)+D(c)+D(e)

51 Mathematical Programming based Approaches Popular approaches (cont d): Mathematical programming by introducing auxiliary variables (arrival time) [Jackson & Kuh, 1989, Srinivasan et al, 1991, Hamada et al, 1993, ] A(i): arrival time at i 1 2 a b c 3 4 e d 5 6 A(1)+D(a) A(3) : edge(a) A(2)+D(b) A(3) : edge(b) A(5) T(5) : endpoint 5 A(6) T(6) : endpoint 6

52 Pros and Cons Advantage(s): Accurate timing view during optimization. Disadvantage(s): High computational cost. Difficult to fit in certain placement frameworks.

53 Net-based Algorithms Timing constraints are translated into net weights (net-weighting) or length constraints (delay-budgeting). Delay budgeting: distribute slacks to all edges in the circuit to achieve zero-slack [Hauge et al, 1987, Gao et al, 1991, Luk 1991, Youssef et al, 1992, Tellez et al, 1996, ] 1 2 a b c 3 4 e d 5 6 D(a) t 1 D(b) t 2 D(c) t 3 D(d) t 4 D(e) t 5

54 Timing-Driven Placement with Delay Budgeting Construct a placement to meet all budgets. If all budgets are met, timing is GUARANTEED! Difficulty: Too many possible budgeting solutions and do not know which can be satisfied a priori. Budgeting is often done in structural domain without physical feasibility consideration Unify placement and delay budgeting? [Sarrafzadeh et al, 1997; Halpinet al, 2001; Yang et al, 2002]

55 Net Weighting Timing criticalities are translated into net weights (soft constraints); then compute a placement which minimizes total weighted delay (or WL). 1 a c d 5 2 b 3 4 e 6 Cost = w(a)*d(a) + w(b)*d(b) + w *D(c) + w(d)*d(d) + w(e)*d(e)

56 Net Weighting Principles P1: smaller slack higher weight. For example: w(e)=(1-slack(e)/t) a VPR [Marquardt et al, 2000] a:7/0 d:5/0 c:1/0 b:5/2 e:3/2 Edge label: delay/slack a=3.0 w(a) = 1.0 w(b) = w(c) = 1.0 w(d) = 1.0 w(e) =

57 Net Weighting Principles P2: more paths higher weight. For example: path-counting [Senn et al, 2002] a:7/0 b:5/2 c:1/0 d:5/0 e:3/2 w(a) = 2.0 w(b) = 2.0 w(c) = 4.0 w(d) = 2.0 w(e) = 2.0

58 Ideal Net Weighting Need to consider both principles together Ideally, we want a:7/0 c:1/0 d:5/0 w(c) > w(a)=w(d) > w(b)=w(e) b:5/2 e:3/2

59 All Path Net Weighting Problem Challenge: can we compute the impact of all paths through an edge, properly scaled by their slacks? i.e., for each edge e, compute w(e) = S " p e f(slack(p)) a:7/0 b:5/2 c:1/0 d:5/0 e:3/2 w(a)= f(slack(a-c-d)) + f(slack(a-c-e)) w(c)= f(slack(a-c-d)) + f(slack(b-c-d)) + f(slack(a-c-e)) + f(slack(b-c-e))

60 All Path Counting For certain function f, PATH algorithm ([Kong 2002]) can compute exact weights of all edges in linear time: w(e) = S " p e f(slack(p)) For example: a:7/0 d:5/0 c:1/0 b:5/2 e:3/2 f(x) = 10 -x/13 w(a) = w(b) = w(c) = w(d) = w(e) = w(c) > w(a)=w(d) > w(b)=w(e)

61 Results IT WORKS! Incorporated into state-of-the-art FPGA placer VPR. Original weighting: w(e)=(1-slack(e)/t) a 15.6% delay reduction. No runtime overhead. 4.1% wirelength increase.

62 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Million Gate FPGA Placement

63 Routability Optimization Aggressive WL minimization!= routability Routability-driven placement Routability modeling Solution techniques for routability control

64 Routability Modeling Supply Demand X Y global routing grid in chip core region Model routing supply and demand for each bin and boundary on the grid structure

65 Categories of Routability Modelinig Topology-free modeling No routing topology generated Fast Topology-based modeling Steiner tree topology generation Provide upper bound for routability estimation High complexity

66 Topology-free Modeling Bounding-box (BBOX)-based modeling [Cheng 1994] Probabilistic analysis-based modeling [Lou et al, 2001] Rent s rule-based modeling [Yang et al, 2002] Pin density-based modeling [Hu & Marek- Sadowska 2002, Brenner & Rohe, 2003]

67 Topology-free Modeling Stochastic Analysis [Lou et al, 2001] s t 6 Probability of a 2-pin net crossing bin(i,j) in a mxn bin grid P(i,j) = C(i, j)/f(m,n) C(i,j): #routes crossing bin(i,j) F(m,n): #routes from bin(1,1) to bin(m,n) Decompose multi-pin nets into 2-pin nets

68 Topology-free Modeling Pin Density Based [Hu & Marek-Sadowska 2002,Brenner & Rohe, 2003] Calculated weighted wirelength F = (1+1+1)/3*4=4 Let P(b) linear with respect to #pin P ( b) BB s b i CF = wi i Di D i : degree of net i BB i : bounding box of net i P s (b) : heuristic function capturing pin density in bin b Can be combine with probabilistic analysis-based modeling i

69 Topology-based Modeling Precomputed Steiner tree topology for wiring demand estimation [Mayrhofer & Lauther, 1990] Congestion-avoidance two-bend routing for 2-pin nets [Chang et al, 2003] IncAtree with incremental updates support for multi-pin nets [Chang et al, 2003]

70 Topology-based Modeling Fast LZ-routing for Two-pin Nets [Chang et al, 2003] HVH Left region VHV Right region Decide HVH or VHV: Select the less congested layer Binary search on V-stem (or H-stem) Initial left region and right region to cover bounding box Repeat Query wire usage on both regions Select region with less congestion Wire usage query can be done in O(log grid_size)

71 Topology-based Modeling Fast Incremental A-tree Routing [Chang et al, 2003] Simple incremental A-tree Recursively Quad-partition grids Each pin recursively connects to lower left corner of each level of partition For net with bounding box length B, at most 2 *log B edge updates for each pin move, except the root. Each edge routed by LZ-router Root(source pin) First Quadrant

72 Optimization Techniques for Routability Net weighting Transfer congestion picture into bin weights and optimization weighted WL Used in iterative placement, such as SA-based placer [Hu & Marek-Sadowska, 2002, Chang et al, 2003] Cell weighting (a.k.a cell inflation) Weight cell size based on the congestion picture Use partitioner or implicit/explicit bin density control to move inflated cell out of congested region Used in constructive placement and iterative placement[parakh 1998, Brenner & Rohe, 2003, Yang et al, 2003]

73 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Million Gate FPGA Placement

74 Concluding Remarks There is significant opportunity to improve the placement technologies Three scalable paradigms 1) Top-down Generalized recursive partitioning defines the hierarchy 2) Bottom-up (multilevel) Generalized recursive clustering defines the hierarchy 3) Flat Flat on the outside, but hierarchical internally Timing and routability optimization can be achieved through weighted wirelength optimization

75 Outline Introduction Gap Analysis of Existing Placement Algorithms Scalable Paradigm Timing Optimization Routability Optimization Concluding Remarks Application Multi-Million Gate FPGA Placement

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

On Improving Recursive Bipartitioning-Based Placement

On Improving Recursive Bipartitioning-Based Placement Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 12-1-2003 On Improving Recursive Bipartitioning-Based Placement Chen Li Cheng-Kok Koh Follow this and additional

More information

Optimality and Scalability Study of Existing Placement Algorithms

Optimality and Scalability Study of Existing Placement Algorithms Optimality and Scalability Study of Existing Placement Algorithms Abstract - Placement is an important step in the overall IC design process in DSM technologies, as it defines the on-chip interconnects,

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

Large-Scale Circuit Placement: Gap and Promise

Large-Scale Circuit Placement: Gap and Promise Large-Scale Circuit Placement: Gap and Promise Jason Cong, Tim Kong, Joseph R. Shinnerl, Min Xie, and Xin Yuan UCLA Computer Science Department {cong,shinnerl,xie,yuanxin}@cs.ucla.edu Magma Design Automation

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Multilevel Global Placement With Congestion Control

Multilevel Global Placement With Congestion Control IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 4, APRIL 2003 395 Multilevel Global Placement With Congestion Control Chin-Chih Chang, Jason Cong, Fellow, IEEE,

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Jason Cong, Michail Romesis, Min Xie Computer Science Department University of California at Los Angeles Los Angeles,

More information

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 http://cadlab.cs.ucla.edu/~cong Outline Global interconnects

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

ECE260B CSE241A Winter Placement

ECE260B CSE241A Winter Placement ECE260B CSE241A Winter 2005 Placement Website: / courses/ ece260b- w05 ECE260B CSE241A Placement.1 Slides courtesy of Prof. Andrew B. Slides courtesy of Prof. Andrew B. Kahng VLSI Design Flow and Physical

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

THE continuous increase of the problem size of IC routing

THE continuous increase of the problem size of IC routing 382 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 3, MARCH 2005 MARS A Multilevel Full-Chip Gridless Routing System Jason Cong, Fellow, IEEE, Jie Fang, Min

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

Multi-level Quadratic Placement for Standard Cell Designs

Multi-level Quadratic Placement for Standard Cell Designs CS258f Project Report Kenton Sze Kevin Chen 06.10.02 Prof Cong Multi-level Quadratic Placement for Standard Cell Designs Project Description/Objectives: The goal of this project was to provide an algorithm

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Supported by Cadence Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov http://vlsicad.cs.ucla.edu Outline l Routability and the placement context

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

(Lec 14) Placement & Partitioning: Part III

(Lec 14) Placement & Partitioning: Part III Page (Lec ) Placement & Partitioning: Part III What you know That there are big placement styles: iterative, recursive, direct Placement via iterative improvement using simulated annealing Recursive-style

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

A Novel Net Weighting Algorithm for Timing-Driven Placement

A Novel Net Weighting Algorithm for Timing-Driven Placement A Novel Net Weighting Algorithm for Timing-Driven Placement Tim (Tianming) Kong Aplus Design Technologies, Inc. 10850 Wilshire Blvd., Suite #370 Los Angeles, CA 90024 Abstract Net weighting for timing-driven

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

A Trade-off Oriented Placement Tool 1

A Trade-off Oriented Placement Tool 1 A Trade-off Oriented Placement Tool Huaiyu Xu Maogang Wang Bo-Kyung Choi Majid Sarrafzadeh Computer Science Department University of California, Los Angeles, CA, 90095 huaiyu@cs.ucla.edu, mgwang@cadence.com,

More information

Regular Fabrics for Retiming & Pipelining over Global Interconnects

Regular Fabrics for Retiming & Pipelining over Global Interconnects Regular Fabrics for Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs cs.ucla.edu http://cadlab cadlab.cs.ucla.edu/~cong

More information

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning George Karypis University of Minnesota, Department of Computer Science / Army HPC Research Center Minneapolis, MN 55455 Technical Report

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

MODULAR PARTITIONING FOR INCREMENTAL COMPILATION

MODULAR PARTITIONING FOR INCREMENTAL COMPILATION MODULAR PARTITIONING FOR INCREMENTAL COMPILATION Mehrdad Eslami Dehkordi, Stephen D. Brown Dept. of Electrical and Computer Engineering University of Toronto, Toronto, Canada email: {eslami,brown}@eecg.utoronto.ca

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs Myung Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji Dept. of EECS, University of Michigan IBM Corporation 1

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

Placement ABOUT THIS CHAPTER 11.1 INTRODUCTION CHAPTER. Chris Chu Iowa State University, Ames, Iowa

Placement ABOUT THIS CHAPTER 11.1 INTRODUCTION CHAPTER. Chris Chu Iowa State University, Ames, Iowa CHAPTER Placement 11 Chris Chu Iowa State University, Ames, Iowa ABOUT THIS CHAPTER Placement is the process of determining the locations of circuit devices on a die surface. It is an important stage in

More information

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT Int. J. Chem. Sci.: 14(1), 2016, 503-511 ISSN 0972-768X www.sadgurupublications.com A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT M. SHUNMUGATHAMMAL a,b, C.

More information

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs JACKEY Z. YAN, Cadence Design Systems NATARAJAN VISWANATHAN, IBM CHRIS CHU, Iowa State University In this article we

More information

Further Studies on Placement Optimality

Further Studies on Placement Optimality 1 Further Studies on Placement Optimality Jason Cong, Michalis Romesis, Joseph R. Shinnerl, and Min Xie UCLA Computer Science Dept. Abstract Recent studies of the quality of results produced by leading

More information

An LP-based Methodology for Improved Timing-Driven Placement

An LP-based Methodology for Improved Timing-Driven Placement An LP-based Methodology for Improved Timing-Driven Placement Qingzhou (Ben) Wang, John Lillis and Shubhankar Sanyal Department of Computer Science University of Illinois at Chicago Chicago, IL 60607 {qwang,

More information

An Effective Congestion Driven Placement Framework

An Effective Congestion Driven Placement Framework An Effective Congestion Driven Placement Framework Ulrich Brenner Research Institute for Discrete Mathematics University of Bonn Germany brenner@or.uni-bonn.de André Rohe Research Institute for Discrete

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 267 Short Papers Hypergraph Partitioning with Fixed Vertices Charles J. Alpert, Andrew E. Caldwell,

More information

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1 Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1 Xiaojian Yang, Elaheh Bozorgzadeh, and Majid Sarrafzadeh Synplicity Inc. Sunnyvale, CA 94086 xjyang@synplicity.com Computer

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

CAD Flow for FPGAs Introduction

CAD Flow for FPGAs Introduction CAD Flow for FPGAs Introduction What is EDA? o EDA Electronic Design Automation or (CAD) o Methodologies, algorithms and tools, which assist and automatethe design, verification, and testing of electronic

More information

IN RECENT years, the role of placement in the physical design

IN RECENT years, the role of placement in the physical design 722 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 5, MAY 2005 FastPlace: Efficient Analytical Placement Using Cell Shifting, Iterative Local Refinement, and

More information

APlace: A High Quality, Large-Scale Analytical Placer

APlace: A High Quality, Large-Scale Analytical Placer 7 APlace: A High Quality, Large-Scale Analytical Placer Andrew B. Kahng 1, Sherief Reda 2 and Qinke Wang 1 1 Univeristy of California, San Diego 2 Brown University, Division of Engineering abk, qinke @cs.ucsd.edu,

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

Multiscale Methods. Introduction to Network Analysis 1

Multiscale Methods. Introduction to Network Analysis 1 Multiscale Methods In many complex systems, a big scale gap can be observed between micro- and macroscopic scales of problems because of the difference in physical (social, biological, mathematical, etc.)

More information

PLACEMENT is one of the most important steps in the

PLACEMENT is one of the most important steps in the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 8, AUGUST 2008 1349 A Robust Mixed-Size Legalization and Detailed Placement Algorithm Jason Cong, Fellow, IEEE,

More information

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN, VOL XX, NO. XX, 2005 1 Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Navaratnasothie Selvakkumaran and

More information

SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement

SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN, 2011 1 SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement Jackey Z. Yan, Chris Chu and Wai-Kei Mak Abstract This paper presents

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Modular Placement for Interposer based Multi-FPGA Systems

Modular Placement for Interposer based Multi-FPGA Systems Modular Placement for Interposer based Multi-FPGA Systems Fubing Mao 1, Wei Zhang 2, Bo Feng 3, Bingsheng He 1, Yuchun Ma 3 1 School of Computer Engineering, Nanyang Technological University, Singapore

More information

UNIVERSITY OF CALGARY. Force-Directed Partitioning Technique for 3D IC. Aysa Fakheri Tabrizi A THESIS SUBMITTED TO THE FACULTY OF GRADUATE STUDIES

UNIVERSITY OF CALGARY. Force-Directed Partitioning Technique for 3D IC. Aysa Fakheri Tabrizi A THESIS SUBMITTED TO THE FACULTY OF GRADUATE STUDIES UNIVERSITY OF CALGARY Force-Directed Partitioning Technique for 3D IC by Aysa Fakheri Tabrizi A THESIS SUBMITTED TO THE FACULTY OF GRADUATE STUDIES IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE

More information

Seminar on. A Coarse-Grain Parallel Formulation of Multilevel k-way Graph Partitioning Algorithm

Seminar on. A Coarse-Grain Parallel Formulation of Multilevel k-way Graph Partitioning Algorithm Seminar on A Coarse-Grain Parallel Formulation of Multilevel k-way Graph Partitioning Algorithm Mohammad Iftakher Uddin & Mohammad Mahfuzur Rahman Matrikel Nr: 9003357 Matrikel Nr : 9003358 Masters of

More information

Architecture and Details of a High Quality, Large-Scale Analytical Placer

Architecture and Details of a High Quality, Large-Scale Analytical Placer Architecture and Details of a High Quality, Large-Scale Analytical Placer Andrew B. Kahng Sherief Reda Qinke Wang Computer Science and Engineering Dept. Univ. of CA, San Diego La Jolla, CA 92093 Email:{abk@ucsd.edu,

More information

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA A Path Based Algorithm for Timing Driven Logic Replication in FPGA By Giancarlo Beraudo B.S., Politecnico di Torino, Torino, 2001 THESIS Submitted as partial fulfillment of the requirements for the degree

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ Xiaojian Yang Elaheh Bozorgzadeh Majid Sarrafzadeh Computer Science Department University of California at Los Angeles Los

More information

/97 $ IEEE

/97 $ IEEE NRG: Global and Detailed Placement Majid Sarrafzadeh Maogang Wang Department of Electrical and Computer Engineering, Northwestern University majid@ece.nwu.edu Abstract We present a new approach to the

More information

Cell Density-driven Detailed Placement with Displacement Constraint

Cell Density-driven Detailed Placement with Displacement Constraint Cell Density-driven Detailed Placement with Displacement Constraint Wing-Kai Chow, Jian Kuang, Xu He, Wenzan Cai, Evangeline F. Y. Young Department of Computer Science and Engineering The Chinese University

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Prediction and Reduction of Routing Congestion

Prediction and Reduction of Routing Congestion rediction and Reduction of Routing Congestion Mehdi Saeedi Morteza Saheb Zamani Ali Jahanian Amirkabir University of Technology Computer Engineering Department Tehran, Iran {saeedi, szamani, jahanian}@ce.aut.ac.ir

More information

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler Mincut Placement with FM Partitioning featuring Terminal Propagation Brett Wilson Lowe Dantzler Project Overview Perform Mincut Placement using the FM Algorithm to perform partitioning. Goals: Minimize

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Large Scale Circuit Partitioning

Large Scale Circuit Partitioning Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Clustering Jason Cong Honching Li Sung-Kyu Lim Dongmin Xu UCLA VLSI CAD Lab Toshiyuki Shibuya Fujitsu Lab, LTD Support

More information

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Deshanand P. Singh Altera Corporation dsingh@altera.com Terry P. Borer Altera Corporation tborer@altera.com

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

A Semi-Persistent Clustering Technique for VLSI Circuit Placement

A Semi-Persistent Clustering Technique for VLSI Circuit Placement A Semi-Persistent Clustering Technique for VLSI Circuit Placement Charles Alpert, Andrew Kahng, Gi-Joon Nam, Sherief Reda and Paul Villarrubia IBM Corp. 114 Burnet Road, Austin, Texas, 78758 Department

More information

Congestion Reduction during Placement with Provably Good Approximation Bound 1

Congestion Reduction during Placement with Provably Good Approximation Bound 1 Congestion Reduction during Placement with Provably Good Approximation Bound 1 Xiaojian Yang Maogang Wang Ryan Kastner Soheil Ghiasi Majid Sarrafzadeh Synplicity Inc. Sunnyvale, California Cadence Design

More information

Hypergraph Partitioning With Fixed Vertices

Hypergraph Partitioning With Fixed Vertices Hypergraph Partitioning With Fixed Vertices Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Department, Los Angeles, CA 90095-596 Abstract We empirically assess the implications

More information

mpl6: Enhanced Multilevel Mixed-size Placement with Congestion Control

mpl6: Enhanced Multilevel Mixed-size Placement with Congestion Control mpl6: Enhanced Multilevel Mixed-size Placement with Congestion Control Tony F. Chan 1, Jason Cong 2, Joseph R. Shinnerl 2, Kenton Sze 1, and Min Xie 2 1 UCLA Mathematics Department, Los Angeles, California

More information

Congestion Reduction during Placement with Provably Good Approximation Bound

Congestion Reduction during Placement with Provably Good Approximation Bound Congestion Reduction during Placement with Provably Good Approximation Bound X. YANG Synplicity, Inc. M. WANG Cadence Design Systems, Inc. R. KASTNER University of California, Santa Barbara and S. GHIASI

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Analysis of different legalisation methods for unequal sized recursive Min-cut placement

Analysis of different legalisation methods for unequal sized recursive Min-cut placement IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 4, Ver. III (Jul-Aug. 04), PP -60 e-issn: 3 400, p-issn No. : 3 4 Analysis of different legalisation methods for unequal sized recursive

More information

Multi-Million Gate FPGA Physical Design Challenges

Multi-Million Gate FPGA Physical Design Challenges Multi-Million Gate FPGA Physical Design Challenges Maogang Wang Cadence Design Systems mgwang@cadence.com Abhishek Ranjan Hier Design Inc ranjan@hierdesign.com Salil Raje Hier Design Inc salil@hierdesign.com

More information

ADVANCING fabrication processes have enabled ever increased

ADVANCING fabrication processes have enabled ever increased 748 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 5, MAY 2005 Mixed Block Placement via Fractional Cut Recursive Bisection Ameya Ramesh Agnihotri, Satoshi

More information

Placement Strategies for 2.5D FPGA Fabric Architectures

Placement Strategies for 2.5D FPGA Fabric Architectures Placement Strategies for 2.5D FPGA Fabric Architectures Chirag Ravishankar 3100 Logic Dr. Longmont, Colorado Email: chiragr@xilinx.com Dinesh Gaitonde 2100 Logic Dr. San Jose, California Email: dineshg@xilinx.com

More information

On Legalization of Row-Based Placements

On Legalization of Row-Based Placements On Legalization of Row-Based Placements Abstract Cell overlaps and pin blockages by power stripes in the results of global placement are guaranteed to prevent successful routing. However, common techniques

More information

Preclass Warmup. ESE535: Electronic Design Automation. Motivation (1) Today. Bisection Width. Motivation (2)

Preclass Warmup. ESE535: Electronic Design Automation. Motivation (1) Today. Bisection Width. Motivation (2) ESE535: Electronic Design Automation Preclass Warmup What cut size were you able to achieve? Day 4: January 28, 25 Partitioning (Intro, KLFM) 2 Partitioning why important Today Can be used as tool at many

More information

Genetic Algorithm for FPGA Placement

Genetic Algorithm for FPGA Placement Genetic Algorithm for FPGA Placement Zoltan Baruch, Octavian Creţ, and Horia Giurgiu Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs .1 Fast Timing-driven Partitioning-based Placement for Island Style FPGAs Pongstorn Maidee Cristinel Ababei Kia Bazargan Electrical and Computer Engineering Department University of Minnesota, Minneapolis,

More information

Unification of Partitioning, Placement and Floorplanning

Unification of Partitioning, Placement and Floorplanning Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya Synplicity Inc. 600 W. California Ave. Sunnyvale, CA 95054 saurabh@synplicity.com Shubhyant Chaturvedi Advanced Micro Devices Austin,

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Placement techniques for the physical synthesis of nanometer-scale integrated circuits

Placement techniques for the physical synthesis of nanometer-scale integrated circuits Graduate Theses and Dissertations Graduate College 2009 Placement techniques for the physical synthesis of nanometer-scale integrated circuits Natarajan Viswanathan Iowa State University Follow this and

More information

Placement By Marriage. Huimin Bian

Placement By Marriage. Huimin Bian Placement By Marriage by Huimin Bian A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Gradudate Department of Electrical and Computer Engineering University

More information

THE TASK of very large scale integration (VLSI) placement

THE TASK of very large scale integration (VLSI) placement 678 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 4, APRIL 2006 A Fast Hierarchical Quadratic Placement Algorithm Gi-Joon Nam, Member, IEEE, Sherief Reda,

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

Improving Min-cut Placement for VLSI Using Analytical Techniques

Improving Min-cut Placement for VLSI Using Analytical Techniques Improving Min-cut Placement for VLSI Using Analytical Techniques Saurabh N. Adya University of Michigan EECS Department Ann Arbor, MI 19-1 sadya@eecs.umich.edu Igor L. Markov University of Michigan EECS

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Recursive Bisection Based Mixed Block Placement

Recursive Bisection Based Mixed Block Placement Recursive Bisection Based Mixed Block Placement Ateen Khatkhate 1 Chen Li 2 Ameya R. Agnihotri 1 Mehmet C. Yildiz 3 Satoshi Ono 1 Cheng-Kok Koh 2 Patrick H. Madden 1 SUNY Binghamton CSD 1 Purdue University

More information