ECE260B CSE241A Winter Placement

Size: px
Start display at page:

Download "ECE260B CSE241A Winter Placement"

Transcription

1 ECE260B CSE241A Winter 2005 Placement Website: / courses/ ece260b- w05 ECE260B CSE241A Placement.1 Slides courtesy of Prof. Andrew B. Slides courtesy of Prof. Andrew B. Kahng

2 VLSI Design Flow and Physical Design Stage IO Pad Placement Power/Ground Stripes, Rings Routing Global Placement Definitions: Cell: a circuit component to be placed on the chip area. In placement, the functionality of the component is ignored. Net: specifying a subset of terminals, to connect several cells. Netlist: a set of nets which contains the connectivity information of the circuit. Detail Placement Clock Tree Synthesis and Routing Global Routing Extraction and Delay Calc. Timing Verification Detail Routing ECE260B CSE241A Placement.2

3 Placement Problem Input: A set of cells and their complete information (a cell library). Connectivity information between cells (netlist information). Output: A set of locations on the chip: one location for each cell. Goal: The cells are placed to produce a routable chip that meets timing and other constraints (e.g., low-power, noise, etc.) Challenge: The number of cells in a design is very large (> 1 million). The timing constraints are very tight. ECE260B CSE241A Placement.3

4 Optimal Relative Order: A B C ECE260B CSE241A Placement.4

5 To spread... A B C ECE260B CSE241A Placement.5

6 .. or not to spread A B C ECE260B CSE241A Placement.6

7 Place to the left A B C ECE260B CSE241A Placement.7

8 or to the right A B C ECE260B CSE241A Placement.8

9 Optimal Relative Order: A B C Without free space, the placement problem is dominated by order ECE260B CSE241A Placement.9

10 Placement Problem A bad placement A good placement ECE260B CSE241A Placement.10

11 Global and Detailed Placement In global placement, we decide the approximate locations for cells by placing cells in global bins. In detailed placement, we make some local adjustment to obtain the final nonoverlapping placement. Global Placement Detailed Placement ECE260B CSE241A Placement.11

12 Placement Footprints: Standard Cell: Data Path: IP - Floorplanning ECE260B CSE241A Placement.12

13 Placement Footprints: Core Reserved areas IO Control Mixed Data Path & sea of gates: ECE260B CSE241A Placement.13

14 Placement Footprints: Perimeter IO Area IO ECE260B CSE241A Placement.14

15 Placement objectives are subject to user constraints / design style: Hierarchical Design Constraints pin location power rail reserved layers Flat Design with Floorplan Constraints Fixed Circuits I/O Connections ECE260B CSE241A Placement.15

16 Standard Cells ECE260B CSE241A Placement.16

17 Standard Cells Power connected by abutment, placed in sea-of-rows Rarely rotated DRC clean in any combination Circuit clean (I.e. no naked T-gates, no huge input capacitances) 8,9,10+ tracks in height Metal 1 only used (hopefully) Multi-height stdcells possible Buffers: sizes, intrinsic delay steps, optimal repeater selection Special clock buffers + gates (balanced P:N) Special metastability hardened flops Cap cells (metal1 used?) Gap fillers (metal1 used?) Tie-high, tie-low ECE260B CSE241A Placement.17

18 Unconstrained Placement ECE260B CSE241A Placement.18

19 Floor planned Placement ECE260B CSE241A Placement.19

20 Placement Cube (4D) Cost Function(s) to be used Cut, wirelength, congestion, crossing,... Algorithm(s) to be used FM, Quadratic, annealing,. Granularity of the netlist Coarseness of the layout domain 2x2, 4x4,. Netlist Granularity Algorithm Cost Function Layout Coarseness An effective methodology picks the right mix from the above and knows when to switch from one to next. Most methods today are ad-hoc ECE260B CSE241A Placement.20

21 Advantages of Hierarchy Design is carved into smaller pieces that can be worked on in parallel (improved throughput) A known floor plan provides the logic design team with a large degree of placement control. A known floor plan provided early knowledge of long wires Timing closure problems can be addressed by tools, logic design, and hierarchy manipulation Late design changes can be done with minimal turmoil to the entire design ECE260B CSE241A Placement.21

22 Disadvantages of Hierarchy Results depend on the quality of the hierarchy. The logic hierarchy must be designed with Physical Design taken into account. Additional methodology requirements must be met to enable hierarchy. Ex. Pin assignment, Macro abstract management, area budgeting, floor planning, timing budgets, etc Late design changes may affect multiple components. Hierarchy allows divergent methodologies Hierarchy hinders Design Automation algorithms. They can no longer perform global optimizations. ECE260B CSE241A Placement.22

23 Traditional Placement Algorithms Quadratic Placement Simulated Annealing Bi-Partitioning / Quadrisection Force Directed Placement Hybrid Netlist Granularity Algorithm Cost Function Layout Coarseness ECE260B CSE241A Placement.23

24 Quadratic Placement Analytical Technique x3 x1 x2 Min [(x1-x3) 2 + (x1-x2) 2 + (x2-x4) 2 ] : F x4 δ F/δ x1 = 0; δ F/ δ x2 = 0; Ax = B A 2-1 = B = -1 2 x3 x4 x = x1 x2 ECE260B CSE241A Placement.24

25 Analytical Placement Get a solution with lots of overlap What do we do with the overlap? ECE260B CSE241A Placement.25

26 Pros and Cons of QP Pros: Cons: Very Fast Analytical Solution Can Handle Large Design Sizes Can be Used as an Initial Seed Placement Engine Can Generate Overlapped Solutions: Postprocessing Needed Not Suitable for Timing Driven Placement Not Suitable for Simultaneous Optimization of Other Aspects of Physical Design (clocks, crosstalk ) Gives Trivial Solutions without Pads (and close to trivial with pads) ECE260B CSE241A Placement.26

27 Simulated Annealing Placement Initial Placement Improved through Swaps and Moves Accept a Swap/ Move if it improves cost Accept a Swap/ Move that degrades cost under some probability conditions Cost ECE260B CSE241A Placement.27 Time

28 Pros and Cons of SA Pros: Can Reach Globally Optimal Solution (given enough time) Open Cost Function. Can Optimize Simultaneously all Aspects of Physical Design Can be Used for End Case Placement Cons: Extremely Slow Process of Reaching a Good Solution ECE260B CSE241A Placement.28

29 Bi-Partitioning/ Quadrisection ECE260B CSE241A Placement.29

30 Pros and Cons of Partitioning Based Placement Pros: More Suitable to Timing Driven Placement since it is Move Based New Innovation (hmetis) in Partitioning Algorithms have made this Extremely Fast Open Cost Function Move Based means Simultaneous Optimization of all Design Aspects Possible Cons: Not Well Understood Lots of indifferent moves May not work well with some cost functions. ECE260B CSE241A Placement.30

31 Hypergraphs in VLSI CAD Circuit netlist represented by hypergraph ECE260B CSE241A Placement.31

32 Hypergraph Partitioning in VLSI Variants directed/undirected hypergraphs weighted/unweighted vertices, edges constraints, objectives, Human-designed instances Benchmarks up to 4,000,000 vertices sparse (vertex degree 4, hyperedge size 4) small number of very large hyperedges Efficiency, flexibility: KL-FM style preferred ECE260B CSE241A Placement.32

33 Context: Top-Down VLSI Placement etc ECE260B CSE241A Placement.33

34 Context: Top-Down Placement Speed Structure 6,000 cells/minute to final detailed placement partitioning used only in top-down global placement implied partitioning runtime: 1 second for 25,000 cells, < 30 seconds for 750,000 cells tight balance constraint on total cell areas in partitions widely varying cell areas fixed terminals (pads, terminal propagation, etc.) ECE260B CSE241A Placement.34

35 Fiduccia-Mattheyses (FM) Approach Pass: start with all vertices free to move (unlocked) label each possible move with immediate change in cost that it causes (gain) iteratively select and execute a move with highest gain, lock the moving vertex (i.e., cannot move again during the pass), and update affected gains best solution seen during the pass is adopted as starting solution for next pass FM: start with some initial solution perform passes until a pass fails to improve solution quality ECE260B CSE241A Placement.35

36 Cut During One Pass (Bipartitioning) Cut Moves ECE260B CSE241A Placement.36

37 Multilevel Partitioning Clustering Refinement ECE260B CSE241A Placement.37

38 Force Directed Placement Cells are dragged by forces. Forces are generated by nets connecting cells. Longer nets generate bigger forces. Placement is obtained by either a constructive or an iterative method. i i F ij j ECE260B CSE241A Placement.38

39 Pros and Cons of Force Directed Placement Pros: Very Fast Analytical Solution Can Handle Large Design Sizes Can be Used as an Initial Seed Placement Engine The Force Cons: Not sensitive to the non-overlapping constraints Gives Trivial Solutions without Pads Not Suitable for Timing Driven Placement ECE260B CSE241A Placement.39

40 Hybrid Placement Mix-matching different placement algorithms Effective algorithms are always hybrid ECE260B CSE241A Placement.40

41 GORDIAN (quadratic + partitioning) Initial Placement min { x i x j 2 } min { y i y j 2 } Partition and Replace ECE260B CSE241A Placement.41

42 Congestion Minimization Traditional placement problem is to minimize interconnection length (wirelength) A valid placement has to be routable Congestion is important because it represents routability (lower congestion implies better routability) There is not yet enough research work on the congestion minimization problem ECE260B CSE241A Placement.42

43 Definition of Congestion Routing demand = 3 Assume routing supply is 1, overflow = 3-1 = 2 on this edge. Overflow on each edge = Routing Demand - Routing Supply (if Routing Demand > Routing Supply) 0 (otherwise) Overflow = Σ all edges overflow ECE260B CSE241A Placement.43

44 Correlation between Wirelength and Congestion Total Wirelength = Total Routing Demand ECE260B CSE241A Placement.44

45 Wirelength Congestion A congestion minimized placement A wirelength minimized placement ECE260B CSE241A Placement.45

46 Congestion Map of a Wirelength Minimized Placement Congested Spots ECE260B CSE241A Placement.46

47 Congestion MAP ECE260B CSE241A Placement.47

48 Congestion Reduction Postprocessing Reduce congestion globally by minimizing the traditional wirelength Post process the wirelength optimized placement using the congestion objective ECE260B CSE241A Placement.48

49 Congestion Reduction Postprocessing Among a variety of cost functions and methods for congestion minimization, wirelength alone followed by a post processing congestion minimization works the best and is one of the fastest. Cost functions such as a hybrid length plus congestion do not work very well. ECE260B CSE241A Placement.49

50 Cost Functions for Placement The final goal of placement is to achieve routability and meet timing constraints Constraints are very hard to use in optimization, thus we use cost functions (e.g., Wirelength) to predict our goals. We will show what happens when you try constraints directly The main challenge is a technical understanding of various cost functions and their interaction. ECE260B CSE241A Placement.50

51 Prediction What is prediction? every system has some critical cost functions: Area, wirelength, congestion, timing etc. Prediction aims at estimating values of these cost functions without having to go through the time-consuming process of full construction. Allows quick space exploration, localizes the search For example: statistical wire-load models Wirelength in placement ECE260B CSE241A Placement.51

52 Paradigms of Prediction Two fundamental paradigms statistical prediction #of two-terminal nets in all designs #of two-terminal nets with length greater than 10 in all designs constructive prediction #of two-terminal nets with length greater than 10 in this design and everything in between, e.g., #of critical two-terminal nets in a design based on statistical data and a quick inspection of the design in hand. Absolute truth or I need it to make progress SLIP (System Level Interconnect Prediction) community. ECE260B CSE241A Placement.52

53 Cost Functions for Placement Net-cut Linear wirelength Quadratic wirelength Congestion Timing Coupling Other performance related cost functions Undiscovered: crossing Netlist Granularity Algorithm Cost Function Layout Coarseness ECE260B CSE241A Placement.53

54 Net-cut Cost for Global Placement The net-cut cost is defined as the number of external nets between different global bins Minimizing net-cut in global placement tends to put highly connected cells close to each other. ECE260B CSE241A Placement.54

55 Linear Wirelength Cost (x1,y1) 1 The linear length of a net between cell 1 and cell 2 is l 12 = x1-x2 + y1-y2 2 (x2,y2) The linear wirelength cost is the summation of the linear length of all nets. ECE260B CSE241A Placement.55

56 Quadratic Wirelength Cost (x1,y1) 1 The quadratic length of a net between cell 1 and cell 2 is 12 = (x1-x2) 2 +(y1-y2) 2 l 12 2 (x2,y2) The quadratic wirelength cost is the summation of the quadratic length of all nets. ECE260B CSE241A Placement.56

57 Congestion Cost Routing demand = 3 Assume routing supply is 1, overflow = 3-1 = 2 on this edge. Overflow on each edge = Routing Demand - Routing Supply (if Routing Demand > Routing Supply) 0 (otherwise) Congestion Overflow = Σ overflow all edges ECE260B CSE241A Placement.57

58 Cost Functions for Placement Various cost functions (and a mix of them) have been used in practice to model/estimate routability and timing We have a good feel for what each cost function is capable of doing We need to understand the interaction among cost functions ECE260B CSE241A Placement.58

59 Congestion Minimization and Congestion vs Wirelength Congestion is important because it closely represents routability (especially at lower-levels of granularity) Congestion is not well understood Ad-hoc techniques have been kind-of working since congestion has never been severe It has been observed that length minimization tends to reduce congestion. Goal: Reduce congestion in placement (willing to sacrifice wirelength a little bit). ECE260B CSE241A Placement.59

60 Correlation between Wirelength and Congestion Total Wirelength = Total Routing Demand ECE260B CSE241A Placement.60

61 Wirelength Congestion A congestion minimized placement A wirelength minimized placement ECE260B CSE241A Placement.61

62 Congestion Map of a Wirelength Minimized Placement Congested Spots ECE260B CSE241A Placement.62

63 Different Routing Models for modeling congestion Bounding box router: fast but inaccurate. Real router: accurate but slow. A bounding box router can be used in placement if it produces correlated routing results with the real router. Note: For different cost functions, answer might be different (e.g., for coupling, only a detailed router can answer). ECE260B CSE241A Placement.63

64 Different Routing Models A bounding box routing model A MST+shortest_path routing model ECE260B CSE241A Placement.64

65 Objective Functions Used in Congestion Minimization WL: Standard total wirelength objective. Ovrflw: Total overflow in a placement (a direct congestion cost). Hybrid: (1- α)wl + α Ovrflw QL: A quadratic plus linear objective. LQ: A linear plus quadratic objective. LkAhd: A modified overflow cost. (1- αt )WL + α T Ovrflw: A time changing hybrid objective which let the cost function gradually change from wirelength to overflow as optimization proceeds. ECE260B CSE241A Placement.65

66 Post Processing to Reduce Congestion Reduce congestion globally by minimizing the traditional wirelength Post process the wirelength optimized placement using the congestion objective ECE260B CSE241A Placement.66

67 Post Processing Heuristics Greedy cell-centric algorithm: Greedily move cells around and greedily accept moves. Flow-based cell-centric algorithm: Use a flow-based approach to move cells. Net-centric algorithm: Move nets with bigger contributions to the congestion first. ECE260B CSE241A Placement.67

68 Greedy Cell-centric Heuristic ECE260B CSE241A Placement.68

69 Flow-based Cell-centric Heuristic Cell Nodes Bin Nodes ECE260B CSE241A Placement.69

70 Net-centric Heuristic ECE260B CSE241A Placement.70

71 From Global Placement to Detailed Placement Global Placement: Assuming all the cells are placed at the centers of global bins. Detailed Placement: Cells are placed without overlapping. ECE260B CSE241A Placement.71

72 Correlation Between Global and Detailed Placement WL g : Wirelength optimized global placement. CON g : Wirelength optimized detailed placement. WL d : Congestion optimized global placement. CON d : Congestion optimized detailed placement. Conclusion: Congestion at detailed placement level is correlated with congestion at global placement level. Thus reducing congestion in global placement helps reduce congestion in final detailed placement. ECE260B CSE241A Placement.72

73 Congestion Wirelength minimization can minimize congestion globally. A post processing congestion minimization following wirelength minimization works the best to reduce congestion in placement. A number of congestion-related cost functions were tested, including a hybrid length plus congestion (commonly believed to be very effective). Experiments prove that they do not work very well. Net-centric post processing techniques are very effective to minimize congestion. Congestion at the global placement level, correlates well with congestion of detailed placement. ECE260B CSE241A Placement.73

74 Shapes of Cost Functions net-cut cost wirelength congestion Solution Space ECE260B CSE241A Placement.74

75 Relationships Between the Three Cost Functions: The net-cut objective function is more smooth than the wirelength objective function The wirelength objective function is more smooth than the congestion objective function Local minimas of these three objectives are in the same neighborhood. ECE260B CSE241A Placement.75

76 Crossing: A routability estimator? Replace each crossing with a gate A planar netlist Easy to place ECE260B CSE241A Placement.76

77 Timing Cost Critical Path Delay of the circuit is defined as the longest delay among all possible paths from primary inputs to primary outputs. Interconnection delay becomes more and more important in deep sub-micron regime. ECE260B CSE241A Placement.77

78 Timing Analysis L A T C H L A T C H How do we get the delay numbers on the gate/ interconnect? ECE260B CSE241A Placement.78

79 Approaches Budgeting In accurate information Fast Path Analysis Most accurate information Very slow Path analysis with infrequent path substitution Somewhere in between ECE260B CSE241A Placement.79

80 Timing Metrics How do we assess the change in a delay due to a potential move during physical design? Whether it is channel routing or area routing, the problem is the same translate geometrical change into delay change ECE260B CSE241A Placement.80

81 Others costs: Coupling Cost Hard to model during placement Can run a global router in the middle of placement Even at the global routing level it is hard to model it Avoid it ECE260B CSE241A Placement.81

82 Coupling Solutions Once we have some metrics for coupling, we can calculate sensitivities, and optimize the physical design... Noisy region Extra space Grounded Shields Quiet region Segregation Spacing Shielding ECE260B CSE241A Placement.82

83 Other Performance Costs Power usage of the chip. Weighted nets Dual voltages (severe constraint on placement) Very little known about these cost functions and their interaction with other cost functions Fundamental research is needed to shed some light on the structure of them ECE260B CSE241A Placement.83

84 Netlist Granularity: Problem Size and Solution Space Size The most challenging part of the placement problem is to solve a huge system within given amount of time We need to effectively reduce the size of the solution space and/or reduce the problem size Netlist clustering: Edge extraction in the netlist Netlist Granularity Algorithm Layout Coarseness Cost Function ECE260B CSE241A Placement.84

85 Layout Coarsening Reduce Solution Space Edge extraction in the solution space Only simple things have been tried GP, DP (Twolf) 2x1, 2x2,. Coarsen only easy parts Netlist Granularity Algorithm Layout Coarseness Cost Function ECE260B CSE241A Placement.85

86 Incremental Placement Given an optimal placement for a given netlist, how to construct optimal placements for netlists modified from the given netlist. Very little research in this area. Different type of incremental changes (in one region, or all over) Methods to use How global should the method be An extremely important problem. ECE260B CSE241A Placement.86

87 Incremental Placement A placement move changes the interconnect capacitance and resistance of the associated net A net topology approximation is required to estimate these changes ECE260B CSE241A Placement.87

88 Placynthesis Algorithms resizing buffering cloning restructuring ECE260B CSE241A Placement.88

89 Many other Design Metrics: Power Supply and Total Power Vdd Vt power Source: The Incredible Shrinking Transistor, Yuan Taur, T. J. Watson Research Center, IBM, IEEE Spectrum, July 1999 ECE260B CSE241A Placement.89

90 Dual Voltages: A harder problem Layout synthesis with dual voltages: major geometric constraints feedthrough V H V L GND V H H L V L L H IN OUT ECE260B CSE241A Placement.90 H -- High Voltage Block L -- Low Voltage Block Layout Structure Cell Library with Dual Power Rails GND

91 Placement References C. J. Alpert, T. Chan, D. J.-H. Huang, I. Markov, and K. Yan, Quadratic Placement Revisited,Proc. 34th IEEE/ACM Design Automation Conference, 1997, pp C. J. Alpert, J.-H Huang, and A. B. Kahng, Multilevel Circuit Partitioning, Proc. 34th IEEE/ACM Design Automation Conference, 1997, pp U. Brenner, and A. Rohe, An Effective Congestion Driven Placement Framework, International Symposium on Physical Design 2002, pp A. E. Caldwell, A. B. Kahng, and I.L. Markov, Can Recursive Bisection Alone Produce Routable Placements,Proc. 37th IEEE/ACM Design Automation Conference, 2000, pp M.A. Breuer, Min-Cut Placement, J. Design Automation and Fault Tolerant Computing, I(4), 1997, pp J. Vygen, Algorithms for Large-Scale Flat Placement, Proc. 34th IEEE/ACM Design Automation Conference, 1988,pp H. Eisenmann and F. M. Johannes, Generic Global Placement and Floorplanning, Proc. 35th IEEE/ACM Design Automation Conference, 1998, pp S.-L. Ou and M. Pedram, Timing Driven Placement Based on Partitioning with Dynamic Cut-Net Control, Proc. 37th IEEE/ACM Design Automation Conference, 2000, pp C.M. Fiduccia and R.M. Mattheyses, A linear time heuristic for improving network partitions, Proc. ACM/IEEE Design Automation Conference. (1982) pp ECE260B CSE241A Placement.91

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Supported by Cadence Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov http://vlsicad.cs.ucla.edu Outline l Routability and the placement context

More information

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

Physical Design Closure

Physical Design Closure Physical Design Closure Olivier Coudert Monterey Design System DAC 2000 DAC2000 (C) Monterey Design Systems 1 DSM Dilemma SOC Time to market Million gates High density, larger die Higher clock speeds Long

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Hypergraph Partitioning With Fixed Vertices

Hypergraph Partitioning With Fixed Vertices Hypergraph Partitioning With Fixed Vertices Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Department, Los Angeles, CA 90095-596 Abstract We empirically assess the implications

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

On Improving Recursive Bipartitioning-Based Placement

On Improving Recursive Bipartitioning-Based Placement Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 12-1-2003 On Improving Recursive Bipartitioning-Based Placement Chen Li Cheng-Kok Koh Follow this and additional

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs Myung Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji Dept. of EECS, University of Michigan IBM Corporation 1

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

(Lec 14) Placement & Partitioning: Part III

(Lec 14) Placement & Partitioning: Part III Page (Lec ) Placement & Partitioning: Part III What you know That there are big placement styles: iterative, recursive, direct Placement via iterative improvement using simulated annealing Recursive-style

More information

Placement ABOUT THIS CHAPTER 11.1 INTRODUCTION CHAPTER. Chris Chu Iowa State University, Ames, Iowa

Placement ABOUT THIS CHAPTER 11.1 INTRODUCTION CHAPTER. Chris Chu Iowa State University, Ames, Iowa CHAPTER Placement 11 Chris Chu Iowa State University, Ames, Iowa ABOUT THIS CHAPTER Placement is the process of determining the locations of circuit devices on a die surface. It is an important stage in

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter Netlist and System Partitioning Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu Chapter Netlist and System Partitioning. Introduction. Terminology. Optimization Goals. Partitioning

More information

Digital VLSI Design. Lecture 7: Placement

Digital VLSI Design. Lecture 7: Placement Digital VLSI Design Lecture 7: Placement Semester A, 2016-17 Lecturer: Dr. Adam Teman 29 December 2016 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from

More information

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning George Karypis University of Minnesota, Department of Computer Science / Army HPC Research Center Minneapolis, MN 55455 Technical Report

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

Preclass Warmup. ESE535: Electronic Design Automation. Motivation (1) Today. Bisection Width. Motivation (2)

Preclass Warmup. ESE535: Electronic Design Automation. Motivation (1) Today. Bisection Width. Motivation (2) ESE535: Electronic Design Automation Preclass Warmup What cut size were you able to achieve? Day 4: January 28, 25 Partitioning (Intro, KLFM) 2 Partitioning why important Today Can be used as tool at many

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 267 Short Papers Hypergraph Partitioning with Fixed Vertices Charles J. Alpert, Andrew E. Caldwell,

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Multilevel Global Placement With Congestion Control

Multilevel Global Placement With Congestion Control IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 4, APRIL 2003 395 Multilevel Global Placement With Congestion Control Chin-Chih Chang, Jason Cong, Fellow, IEEE,

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Jason Cong, Michail Romesis, Min Xie Computer Science Department University of California at Los Angeles Los Angeles,

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

Unit 5A: Circuit Partitioning

Unit 5A: Circuit Partitioning Course contents: Unit 5A: Circuit Partitioning Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic Simulated annealing based partitioning algorithm Readings Chapter 7.5 Unit 5A 1 Course

More information

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Dept., Los Angeles, CA 90095-1596 fcaldwell,abk,imarkovg@cs.ucla.edu

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 3 Chip Planning VLSI Physical Design: From Graph Partitioning to Timing Closure Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

CAD Flow for FPGAs Introduction

CAD Flow for FPGAs Introduction CAD Flow for FPGAs Introduction What is EDA? o EDA Electronic Design Automation or (CAD) o Methodologies, algorithms and tools, which assist and automatethe design, verification, and testing of electronic

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT Int. J. Chem. Sci.: 14(1), 2016, 503-511 ISSN 0972-768X www.sadgurupublications.com A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT M. SHUNMUGATHAMMAL a,b, C.

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN, VOL XX, NO. XX, 2005 1 Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Navaratnasothie Selvakkumaran and

More information

Large Scale Circuit Placement: Gap and Promise

Large Scale Circuit Placement: Gap and Promise Large Scale Circuit Placement: Gap and Promise Jason Cong 1, Tim Kong 2, Joseph R. Shinnerl 1, Min Xie 1 and Xin Yuan 1 UCLA VLSI CAD LAB 1 Magma Design Automation 2 Outline Introduction Gap Analysis of

More information

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5.

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5. Course contents: Partitioning Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic Readings Chapter 7.5 Partitioning 1 Basic Definitions Cell: a logic block used to build larger circuits.

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler Mincut Placement with FM Partitioning featuring Terminal Propagation Brett Wilson Lowe Dantzler Project Overview Perform Mincut Placement using the FM Algorithm to perform partitioning. Goals: Minimize

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or

More information

Placement techniques for the physical synthesis of nanometer-scale integrated circuits

Placement techniques for the physical synthesis of nanometer-scale integrated circuits Graduate Theses and Dissertations Graduate College 2009 Placement techniques for the physical synthesis of nanometer-scale integrated circuits Natarajan Viswanathan Iowa State University Follow this and

More information

DPlace: Anchor Cell based Quadratic Placement with Linear Objective

DPlace: Anchor Cell based Quadratic Placement with Linear Objective DPlace: Anchor Cell based Quadratic Placement with Linear Objective Tao Luo and David Z. Pan Department of Electrical and Computer Engineering The University of Texas at Austin {tluo, dpan}@ece.utexas.edu

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Chapter 6 Detailed Routing

Chapter 6 Detailed Routing hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs 6.2.1 Horizontal onstraint Graphs 6.2.2 Vertical onstraint Graphs 6.3 hannel Routing lgorithms 6.3.1 Left-Edge lgorithm

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Design Topology Aware Physical Metrics for Placement Analysis

Design Topology Aware Physical Metrics for Placement Analysis Design Topology Aware Physical Metrics for Placement Analysis Shyam Rami and Nagu R. Dhanwada IBM Microelectronics, Hopewell Junction, NY. {rami,nagu}@us.ibm.com ABSTRACT Traditionally placement evaluation

More information

A Trade-off Oriented Placement Tool 1

A Trade-off Oriented Placement Tool 1 A Trade-off Oriented Placement Tool Huaiyu Xu Maogang Wang Bo-Kyung Choi Majid Sarrafzadeh Computer Science Department University of California, Los Angeles, CA, 90095 huaiyu@cs.ucla.edu, mgwang@cadence.com,

More information

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1 Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1 Xiaojian Yang, Elaheh Bozorgzadeh, and Majid Sarrafzadeh Synplicity Inc. Sunnyvale, CA 94086 xjyang@synplicity.com Computer

More information

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization

Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Multi-Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization Navaratnasothie Selvakkumaran and George Karypis Department of Computer Science / Army HPC Research

More information

Multi-level Quadratic Placement for Standard Cell Designs

Multi-level Quadratic Placement for Standard Cell Designs CS258f Project Report Kenton Sze Kevin Chen 06.10.02 Prof Cong Multi-level Quadratic Placement for Standard Cell Designs Project Description/Objectives: The goal of this project was to provide an algorithm

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Logic Design Process Combinational logic networks Functionality. Other requirements: Size. Power. Primary inputs Performance.

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Challenges of FPGA Physical Design

Challenges of FPGA Physical Design Challenges of FPGA Physical Design Larry McMurchie 1 and Jovanka Ciric Vujkovic 2 1 Principal Engineer, Solutions Group, Synopsys, Inc., Mountain View, CA, USA 2 R&D Manager, Solutions Group, Synopsys,

More information

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ Xiaojian Yang Elaheh Bozorgzadeh Majid Sarrafzadeh Computer Science Department University of California at Los Angeles Los

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Optimality and Scalability Study of Existing Placement Algorithms

Optimality and Scalability Study of Existing Placement Algorithms Optimality and Scalability Study of Existing Placement Algorithms Abstract - Placement is an important step in the overall IC design process in DSM technologies, as it defines the on-chip interconnects,

More information

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs JACKEY Z. YAN, Cadence Design Systems NATARAJAN VISWANATHAN, IBM CHRIS CHU, Iowa State University In this article we

More information

/97 $ IEEE

/97 $ IEEE NRG: Global and Detailed Placement Majid Sarrafzadeh Maogang Wang Department of Electrical and Computer Engineering, Northwestern University majid@ece.nwu.edu Abstract We present a new approach to the

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Genetic Algorithm for Circuit Partitioning

Genetic Algorithm for Circuit Partitioning Genetic Algorithm for Circuit Partitioning ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model

FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model Natarajan Viswanathan nataraj@iastate.edu Chris Chong-Nuen Chu cnchu@iastate.edu Department

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs .1 Fast Timing-driven Partitioning-based Placement for Island Style FPGAs Pongstorn Maidee Cristinel Ababei Kia Bazargan Electrical and Computer Engineering Department University of Minnesota, Minneapolis,

More information

ECE260B CSE241A Winter Logic Synthesis

ECE260B CSE241A Winter Logic Synthesis ECE260B CSE241A Winter 2007 Logic Synthesis Website: /courses/ece260b-w07 ECE 260B CSE 241A Static Timing Analysis 1 Slides courtesy of Dr. Cho Moon Introduction Why logic synthesis? Ubiquitous used almost

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

Partitioning With Terminals: A New Problem and New Benchmarks

Partitioning With Terminals: A New Problem and New Benchmarks Partitioning With Terminals: A New Problem and New Benchmarks C. J. Alpert,A.E.Caldwell,A.B.KahngandI.L.Markov UCLA Computer Science Dept., Los Angeles, CA 90095-596 USA IBM Austin Research Laboratory,

More information

IN RECENT years, the role of placement in the physical design

IN RECENT years, the role of placement in the physical design 722 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 5, MAY 2005 FastPlace: Efficient Analytical Placement Using Cell Shifting, Iterative Local Refinement, and

More information

LOGICAL AND PHYSICAL DESIGN: A FLOW PERSPECTIVE

LOGICAL AND PHYSICAL DESIGN: A FLOW PERSPECTIVE Chapter 7 LOGICAL AND PHYSICAL DESIGN: A FLOW PERSPECTIVE Olivier Coudert Abstract A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of

More information

Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement

Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement EDA Institute for Electronic Design Automation Prof. Ulf Schlichtmann Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement Peter Spindler, Ulf Schlichtmann and Frank M. Johannes Technische

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

Implementation of Multi-Way Partitioning Algorithm

Implementation of Multi-Way Partitioning Algorithm Implementation of Multi-Way Partitioning Algorithm Kulpreet S. Sikand, Sandeep S. Gill, R. Chandel, and A. Chandel Abstract This paper presents a discussion of methods to solve partitioning problems and

More information

A Semi-Persistent Clustering Technique for VLSI Circuit Placement

A Semi-Persistent Clustering Technique for VLSI Circuit Placement A Semi-Persistent Clustering Technique for VLSI Circuit Placement Charles Alpert, Andrew Kahng, Gi-Joon Nam, Sherief Reda and Paul Villarrubia IBM Corp. 114 Burnet Road, Austin, Texas, 78758 Department

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

THE TASK of very large scale integration (VLSI) placement

THE TASK of very large scale integration (VLSI) placement 678 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 4, APRIL 2006 A Fast Hierarchical Quadratic Placement Algorithm Gi-Joon Nam, Member, IEEE, Sherief Reda,

More information

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Deshanand P. Singh Altera Corporation dsingh@altera.com Terry P. Borer Altera Corporation tborer@altera.com

More information

On Legalization of Row-Based Placements

On Legalization of Row-Based Placements On Legalization of Row-Based Placements Abstract Cell overlaps and pin blockages by power stripes in the results of global placement are guaranteed to prevent successful routing. However, common techniques

More information