Analysis of different legalisation methods for unequal sized recursive Min-cut placement

Size: px
Start display at page:

Download "Analysis of different legalisation methods for unequal sized recursive Min-cut placement"

Transcription

1 IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 4, Ver. III (Jul-Aug. 04), PP -60 e-issn: 3 400, p-issn No. : 3 4 Analysis of different legalisation methods for unequal sized recursive Min-cut placement Kalimidi Yugandhar, G. V. Marutheswar (Research Scholar, Department of Electrical Engineering, Sri Venkateswara University College of Engineering, Tirupati, India) (Professor, Department of Electrical Engineering, Sri Venkateswara University College of Engineering, Tirupati, India) Abstract: In this paper, different legalisation methods are examined for a new method of min-cut partitioning for VLSI placement. Traditional min-cut placers divide the given circuit into equal sized sub-circuits at each partitioning level. This paper uses a new partitioning method called unequal sized partitioning in which instead of making the sub-circuits size equal, the size of sub-circuits are made unequal. This paper analyses the placement results to minimize the total wire length of unequal sized partitioning for different legalisation schemes. Firstly an introduction to unequal sized recursive partitioning and legalisation schemes are presented. Then these schemes are applied to MCNC benchmark circuits for different partition ratios ranging from 0. to 0.. The results prove that all the legalisation schemes do not give optimal wire lengths for equal sized partitioning. Each circuit has optimal wire length at different partition ratio for a different legalisation method. Finally this paper suggests the need for unequal sized partitioning which improves the wire length significantly as compared to the conventional equal sized partitioning. Keywords: Legalisation, Min-cut algorithm, Partition ratio, Placement, Unequal sized partitioning I. Introduction VLSI placement is an important step in the physical design process. The objective of the VLSI placement is to assign each circuit element to a unique location of the chip. Broadly this process of assigning circuit elements to specific locations can be classified into two types, namely global placement and detailed placement. Simulated Annealing [], Min-cut [], Numerical optimization [], Force-directed [3], and Evolution based [4] are some of the placement algorithms used to solve the VLSI placement problem []. Constructive placement algorithms use simple methods to generate the rough initial placements during the global placement. Placement by partitioning or Min-cut algorithm is an important constructive placement algorithm used extensively in the industry. Min-cut algorithm divides the given circuit into sub-circuits at each level of partitioning and assigns the sub-circuits to specific chip areas. Sometimes, the allotted number of modules to a sub-circuit may exceed its allotted area capacity causing the overlapping of the modules. This is a typical violation of area constraint. The process of removing of this violation and making an overlap free placement is called Legalisation. Many methods were proposed in the literature such as ripple move [6] [] [], cell shifting [], grid wrapping [0] and slot assignment [6] [] to remove the area congestion. In this paper, an algorithm which shifts the cells from an excess partition to the other partition in accordance with the optimisation requirements is used. Dragon [], FengShui [], NTUPlace [3] and Capo [4] use min-cut partitioning algorithm for VLSI placement. They use either recursive balanced bisection or quadrisection []. The balanced min-cut bisection at each partitioning level may provide an optimal partitioning, but at the placement level an optimal partitioning may not give an optimal placement. All of this placement tools ignore the unequal sized partitioning and assume that the balanced min-cut partitioning give an optimal partitioning at all times for all the circuits. This paper employs a new method of partitioning called unequal sized partitioning to solve VLSI placement problem []. Since the problem of VSLI placement problem is NP complete [6], it cannot be denied that unequal sized partitioning may provide better optimal solution. The objective of this work is to examine the effect of different legalisation schemes for unequal sized recursive partitioning. This paper is organised as follows. In section, a new partitioning approach called unequal sized partitioning is introduced with illustrations. Different possible implementations of unequal sized partitioning are discussed in detail in this section. The section 3, gives a brief introduction of different legalisation schemes Page

2 which are employed in the proposed algorithm. In section 4, the hypergraph implementation of circuit is discussed in detail in addition to the benchmark circuits, software and operating system used to carry out the experiment. In section, the experimental results are reported which show a significant improvement of placement results with unequal sized partitioning. And finally the last section concludes the work emphasizing the necessity of unequal sized partitioning as an alternative approach to equal sized partitioning. II. Unequal sized recursive partitioning Traditional min-cut placers divide the given circuit recursively into equal sized partitions till each 4 C C4 C C C6 C3 3 C C 4 C C0 C C C4 C C 6 Fig. Conventional equal sized partitioning module is mapped to a particular location of the chip. The Fig. shows a typical example of min-cut placement by equal sized partitioning. The circuit is partitioned with equal number of modules and equal areas with minimum net cut at each level of partitioning. The cutline C divides the modules into two sets of eight modules each with the number of interconnections between them as 6. This is the first level of partitioning. In the second of level of partitioning the horizontal cut-lines C and C3 divide the blocks obtained in the previous level into blocks of four modules each. The process of division is continued to these sub-blocks further till each module is mapped to unique location. Contrary to the traditional method of equal sized partitioning, the modules are divided into unequal sized partitions and mapped to unequal sized chip areas as shown in Fig. for unequal sized recursive partitioning. The number of modules allotted to the block B is shown as N(B) partition ratio. If partition ratio is defined as 0.4, then the left smaller block B gets 0.4 N(B), while the right bigger block gets 0.6 N(B). In the next partitioning level, the block B is considered for partitioning leaving its complementary block B. The algorithm recursively apply the same partition ratio to this block B and divides into B and B, where B gets 0.4$\times$ N(B) modules and B gets 0.6 N(B) modules. This process is repeated recursively till all the modules are allotted to unique locations of the chip. Fig. Unequal sized recursive partitioning 3 Page

3 40% 60% 0 C3 C C4 C6 C C C Fig. 3 A chip layout partitioned alternatively with vertical and horizontal cutlines with partition ratio of 0.4 The Fig. 3 represents a typical layout region with alternate vertical and horizontal cut-lines for unequal sized partitioning. Each of the cut-lines divides the partition area repeatedly into two partitions of areas of 40% and 60%. At each partitioning step, the total number of modules are divided into partitioning sizes of 40% and 60% and the modules are assigned to the respective partitioning areas. From this figure, it can be observed that we reached a stage where circuit to be partitioned have total number of ten modules. The vertical cut line C cuts the partitioning area into two partitions of areas of 40% and 60% of total area. The modules are divided into two partitions of four modules and six modules each such that the cut size is two nets. The Fig. 4 represents different unequal partitioning strategies for a circuit having ten modules. Fig. 4(a) shows conventional partitioning for VLSI cell placement where partition areas and sizes are equal sized. The Fig. 4(b) represents a typical sub-circuit of unequal partition sized placement. Here the sub-circuit area is divided into two partitions such that the left one has 40% of the total number of modules of the sub-circuit and 0% 0% 40% 60% 30% 0% 0% 0% (a) (b) Fig. 4 A circuit with ten modules partitioned with different partition ratios of 0., 0.4, 0.3 and 0. the right one accommodates the remaining 60% of the modules. The cut line is placed at 0.4 times the length of x-axis of the sub-circuit from left most bottom corner. Here the cut size is two nets. And for a horizontal cut the cutline is placed at 0.4 times the length of the y-axis of the sub-circuit from the left most bottom corner. The Fig. 4(c),(d) represent 30-0 partition and 0-0 partition, and the process of placement is same as above with variation in partition ratios. III. Legalization The Fig. shows a typical placement violation after an unequal sized min-cut partitioning step. The maximum capacity of the bottom block is 4 modules, but the placement algorithm allotted modules with an excess of four modules. This kind of situations usually happens after global placement, where the primary objective of the placement algorithm during global placement is to minimize the wire length without considering congestion. It is necessary to remove this placement violation before proceeding to the next level of partitioning. A separate procedure is incorporated in the algorithm to remove this violation to make an overlap free placement. (c) (d) 4 Page

4 The process of legalisation usually takes place in two steps. Selection of module from overlapped partition. Shifting of selected module to the other partition The selection of module for shifting is to be done keeping the optimisation in mind. For some of the circuits, minimization of wire length is given higher priority. For such circuits, the module from the overlapped partition which can reduce the wire length drastically is selected for shifting to other partition. For those circuits whose objective is the minimization of congestion, the module which has high fan-out is considered for shifting Fig. An illustration of placement constraint to other partition. Similar is the case with timing critical circuits, where the selection of module is done keeping in mind the change in delay caused by shifting. The modules which are loosely connected or in other words those modules having least number of connections to other modules in that partition is considered for shifting, so that increase in total wire length of the circuit is not significant. Some legalisation method selects those modules which are strongly connected or having high number of connections in other partition is considered for shifting, which also results a slight increase in overall wire length. Still some legalisation techniques use an hybrid of the above mentioned two techniques to reduce the overall wire length. Procedure{Legalisation }{} If {Legalisation==Leastcon} Initialise Leastcon=MAX For{Each gate in this partition} Check the fanout value If {fanout<leastcon} Leastcon = fanout Flag this gate EndFor Else If {Legalisation==Mostlen} Initialise Mostlen=MIN For{Each gate in this partition} Check the wire length by moving this gate to the other partition If {wirelength > Mostlen} Mostlen = wirelength Flag this gate EndFor Page

5 Else EndProcedure Initialise Leastlen=MAX For{Each gate in this partition} Check the wire length by moving this gate to the other partition If {wirelength < Leastlen} Leastlen = wirelength Flag this gate EndFor Fig. 6 Legalisation algorithm The algorithm shown in Fig. 6 Checks the maximum capacity of the partition at each partitioning level to ensure that there is no placement violation. The maximum capacity of any partition is defined by its total area times the number of gates placed per site. A violation is reported if the partitioning step yields a result that has more gates in a partition than its maximum capacity. Different strategies are implemented in the Algorithm to look after the legalization. Each strategy selects a module in the current partition that will be moved to the adjacent partition to fit the capacity constraints. The strategies are: ) Least Connected: This strategy selects the module that is least connected to other gates and pads. This strategy is efficient especially for larger benchmarks. ) Least Decrease in Wire length: This strategy selects the module that results in the least decrease in wire length when shifted from the current partition to the adjacent one. 3) Most Decrease in Wire length: This strategy selects the module that results in most decrease in wire length when shifted from the current partition to the adjacent one. IV. Implementation details C e D F B e e3 e4 E e G A Fig. A simple circuit with seven logic gates and its hypergraph In VLSI CAD, the circuits are represented using hypergraphs. A hypergraph is represented by G = (V, E), where V is a set of circuit gates and E is a set of signal nets. A hyperedge e E connects any number of vertices in V. The Fig. shows a circuit with seven logic gates and five edges and its hyper graph. The gates are represented as a set vertices V ={A,B,C,D,E,F} with each vertex v V having a size s(v) and the interconnection wires are represented as a set of hyper-edges E= {e,e,e3,e4,e} with each hyper-edge e E having a weight w(e). The objective of the min-cut algorithm is to partition the given circuit into two sub-circuits such that the interconnections between the two partitions are minimum. This task of partitioning can be easily implemented using the hypergraph partitioning. The Half-Perimeter Wire length (HPWL) net model is used in 6 Page

6 the algorithm wire length estimation. This method calculates the perimeter of the bounding box of the modules under consideration and approximates the wire length by the half-perimeter. HPWL is most effective for -pin and 3-pin nets and since most of the nets in any circuit are either or 3 pin nets this method is widely adopted in the industry. The algorithm shown in Fig. 6 is implemented in C++. Eclipse, an integrated development environment (IDE) is used to develop and carry the experiment. The operating system Ubuntu.04.4 LTS is used to run Eclipse. The hardware used include a processor of 4x Intel(R) Core(TM) i-40m with memory of 404MB. MCNC benchmark circuits fract, primary, industry and biomed are used to test our hypothesis. The Table shows the characteristics of the benchmark circuits used. Table Characteristics of MCNC Benchmarks Circuit #Cells #Nets #Pins #Rows fract Primary Industry Biomed V. Experimental results In Error! Reference source not found. Table the total wire lengths of MCNC benchmark circuit fract for various partition ratios are compared for different legalisation methods. It clearly shows that the three different legalisation methods yield optimal wire lengths at different partition ratios. The Table 3 compares the wire lengths for benchmark primary, the results show that optimal wire lengths do not vary with the legalisation scheme and partition ratios. The Table 4 compares the wire lengths for benchmark industry, the results show that optimal wire lengths vary with the legalisation scheme and partition ratios. Similar is the case with biomed. Also from the tables, it can be observed that the improvement in wire length is significant with all of the benchmark circuits with an average improvement of.6%. Table. Comparison of legalisation techniques for "fract" PARTITION FRACT RATIO LEASTCON LEASTLEN MOSTLEN Table 3 Comparison of legalisation techniques for "Primary PARTITION RATIO PRIMARY LEASTCON LEASTLEN MOSTLEN Page

7 Table 4. Comparison of legalisation techniques for "Industry" PARTITION RATIO INDUSTRY LEASTCON LEASTLEN MOSTLEN Table. Comparison of legalisation techniques for biomed PARTITION BIOMED RATIO LEASTCON LEASTLEN MOSTLEN Fig. Wire length variation for different partition ratios for "fract" Fig. Wire length variation for different partition ratios for "primary" Page

8 Fig. 0 Wire length variation for different partition ratios for "industry" Fig. Wire length variation for different partition ratios for "biomed" The Fig. to Fig. shows the variation of wire lengths for various partition ratios for MCNC benchmarks. From this figures, it can be inferred that each of the circuit behave differently without any uniform variation to different partition ratios. The experimental results show that some of the circuits yield optimal wire lengths for equal sized partitioning while other circuits yield optimal wire length for unequal sized partitioning. VI. Conclusion This paper examines the behavior of the circuits for different legalisation schemes for unequal sized recursive partitioning. Firstly modeling of unequal sized partitioning is discussed and then these models are applied to MCNC benchmark circuits. These results show that equal sized partitioning do not always give optimal placement results. The minimal wire length can occur at any partition ratio and purely a characteristic of the circuit topology. These results necessitate checking all the partition ratios to find which partitioning strategy gives optimal solution. References [] Scott. Kirkpatrick, "Optimization by simulated annealing: Quantitative studies.," Journal of statistical physics 34.-6, pp. -6, 4. [] Khushro, and Pinaki Mazumder. Shahookar, "VLSI cell placement techniques.," ACM Computing Surveys (CSUR) 3., pp. 43-0,. [3] Maurice, and Jerome M. Kurtzberg. Hanan, "Placement techniques.," Design automation of digital systems, pp. 3-,. [4] R-M., and Prithviraj Banerjee. Kling, "ESP: A new standard cell placement package using simulated evolution.," In Proceedings of the 4th ACM/IEEE Design Automation Conference, pp ,. [] Melvin A Breuer, "A class of min-cut placement algorithms," In Proceedings of the 4th Design Automation Conference, pp. pp. 4-0,. [6] Tony F., Jason Cong, Tianming Kong, and Joseph R. Shinnerl. Chan, "Multilevel optimization for large-scale circuit placement.," In Proceedings of the 000 IEEE/ACM international conference on Computer-aided design, pp. -6, 000. [] Tony F., Jason Cong, Tim Kong, Joseph R. Shinnerl, and Kenton Sze. Chan, "An enhanced multilevel algorithm for circuit placement.," In Proceedings of the 003 IEEE/ACM international conference on Computer-aided design, p., 003. Page

9 [] Sung Woo, and John Lillis Hur, "Mongrel: hybrid techniques for standard cell placement.," Proceedings of the 000 IEEE/ACM international conference on Computer-aided design., 000. [] Natarajan, and Chris CN Chu. Viswanathan, "FastPlace: Efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 4., pp. -33, 00. [0] Zhong, James D. Ma, Suzanne M. Fowler, and Rob A. Rutenbar. Xiu, "Large-scale placement by grid-warping.," In Proceedings of the 4st annual Design Automation Conference, pp. 3-36, 004. [] Maogang, Xiaojian Yang, and Majid Sarrafzadeh. Wang, "Dragon000: standard-cell placement tool for large industry circuits," In Proceedings of the 000 IEEE/ACM international conference on Computer-aided design, pp , 000. [] Ameya R., Satoshi Ono, and Patrick H. Madden. Agnihotri, "Recursive bisection placement: feng shui.0 implementation details.," Proceedings of the 00 international symposium on Physical design. ACM, 00. [3] Zhe-Wei, Tung-Chieh Cheny, Tien-Chang Hsuy, Hsin-Chen Chenz, and Yao-Wen Changyz. Jiang, "NTUplace: A hybrid placer using partitioning and analytical techniques.," In Proceedings of the 006 international symposium on Physical design, pp. -, 006. [4] Jarrod A., David A. Papa, Saurabh N. Adya, Hayward H. Chan, Aaron N. Ng, James F. Lu, and Igor L. Markov. Roy, "Capo: robust and scalable open-source min-cut floorplacer.," In Proceedings of the 00 international symposium on Physical design, pp. 4-6, 00. [] Dennis J-H., and Andrew B. Kahng Huang, "Partitioning-based standard-cell global placement with an exact objective.," In Proceedings of the international symposium on Physical design, pp. -,. [6] Wilm E. Donath, "Complexity theory and design automation.," In Proceedings of the th Design Automation Conference, pp. 4-4, Page

On Improving Recursive Bipartitioning-Based Placement

On Improving Recursive Bipartitioning-Based Placement Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 12-1-2003 On Improving Recursive Bipartitioning-Based Placement Chen Li Cheng-Kok Koh Follow this and additional

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization

Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization Tsung-Yi Ho and Sheng-Hung Liu Department of Computer Science and Information Engineering National

More information

Further Studies on Placement Optimality

Further Studies on Placement Optimality 1 Further Studies on Placement Optimality Jason Cong, Michalis Romesis, Joseph R. Shinnerl, and Min Xie UCLA Computer Science Dept. Abstract Recent studies of the quality of results produced by leading

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ Xiaojian Yang Elaheh Bozorgzadeh Majid Sarrafzadeh Computer Science Department University of California at Los Angeles Los

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT Int. J. Chem. Sci.: 14(1), 2016, 503-511 ISSN 0972-768X www.sadgurupublications.com A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT M. SHUNMUGATHAMMAL a,b, C.

More information

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1 Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1 Xiaojian Yang, Elaheh Bozorgzadeh, and Majid Sarrafzadeh Synplicity Inc. Sunnyvale, CA 94086 xjyang@synplicity.com Computer

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

POLAR 3.0: An Ultrafast Global Placement Engine

POLAR 3.0: An Ultrafast Global Placement Engine POLAR 3.0: An Ultrafast Global Placement Engine Tao Lin Iowa State University tlin@iastate.edu Chris Chu Iowa State University cnchu@iastate.edu Gang Wu Iowa State University gangwu@iastate.edu Abstract

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

A Trade-off Oriented Placement Tool 1

A Trade-off Oriented Placement Tool 1 A Trade-off Oriented Placement Tool Huaiyu Xu Maogang Wang Bo-Kyung Choi Majid Sarrafzadeh Computer Science Department University of California, Los Angeles, CA, 90095 huaiyu@cs.ucla.edu, mgwang@cadence.com,

More information

IN RECENT years, the role of placement in the physical design

IN RECENT years, the role of placement in the physical design 722 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 5, MAY 2005 FastPlace: Efficient Analytical Placement Using Cell Shifting, Iterative Local Refinement, and

More information

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance A Hierarchical Bin-Based Legalizer for Standard- Designs with Minimal Disturbance Yu-Min Lee, Tsung-You Wu, and Po-Yi Chiang Department of Electrical Engineering National Chiao Tung University ASPDAC,

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Cell Density-driven Detailed Placement with Displacement Constraint

Cell Density-driven Detailed Placement with Displacement Constraint Cell Density-driven Detailed Placement with Displacement Constraint Wing-Kai Chow, Jian Kuang, Xu He, Wenzan Cai, Evangeline F. Y. Young Department of Computer Science and Engineering The Chinese University

More information

Optimality and Scalability Study of Existing Placement Algorithms

Optimality and Scalability Study of Existing Placement Algorithms Optimality and Scalability Study of Existing Placement Algorithms Abstract - Placement is an important step in the overall IC design process in DSM technologies, as it defines the on-chip interconnects,

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Supported by Cadence Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov http://vlsicad.cs.ucla.edu Outline l Routability and the placement context

More information

Multi-level Quadratic Placement for Standard Cell Designs

Multi-level Quadratic Placement for Standard Cell Designs CS258f Project Report Kenton Sze Kevin Chen 06.10.02 Prof Cong Multi-level Quadratic Placement for Standard Cell Designs Project Description/Objectives: The goal of this project was to provide an algorithm

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs JACKEY Z. YAN, Cadence Design Systems NATARAJAN VISWANATHAN, IBM CHRIS CHU, Iowa State University In this article we

More information

A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints

A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen 2, and Yao-Wen Chang,2 Graduate Institute

More information

Recursive Bisection Based Mixed Block Placement

Recursive Bisection Based Mixed Block Placement Recursive Bisection Based Mixed Block Placement Ateen Khatkhate 1 Chen Li 2 Ameya R. Agnihotri 1 Mehmet C. Yildiz 3 Satoshi Ono 1 Cheng-Kok Koh 2 Patrick H. Madden 1 SUNY Binghamton CSD 1 Purdue University

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Handling Complexities in Modern Large-Scale Mixed-Size Placement

Handling Complexities in Modern Large-Scale Mixed-Size Placement Handling Complexities in Modern Large-Scale Mixed-Size Placement Jackey Z. Yan Natarajan Viswanathan Chris Chu Department of Electrical and Computer Engineering Iowa State University, mes, I 50010 {zijunyan,

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement To appear in Proceedings of ASPDAC 2007 ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov The University of Michigan, Department of EECS 2260 Hayward Ave., Ann Arbor, MI 48109-2121

More information

Architecture and Details of a High Quality, Large-Scale Analytical Placer

Architecture and Details of a High Quality, Large-Scale Analytical Placer Architecture and Details of a High Quality, Large-Scale Analytical Placer Andrew B. Kahng Sherief Reda Qinke Wang Computer Science and Engineering Dept. Univ. of CA, San Diego La Jolla, CA 92093 Email:{abk@ucsd.edu,

More information

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Jason Cong, Michail Romesis, Min Xie Computer Science Department University of California at Los Angeles Los Angeles,

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov CSE-TR-519-06 June 20, 2006 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs Myung Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji Dept. of EECS, University of Michigan IBM Corporation 1

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Parallel Simulated Annealing for VLSI Cell Placement Problem

Parallel Simulated Annealing for VLSI Cell Placement Problem Parallel Simulated Annealing for VLSI Cell Placement Problem Atanu Roy Karthik Ganesan Pillai Department Computer Science Montana State University Bozeman {atanu.roy, k.ganeshanpillai}@cs.montana.edu VLSI

More information

An LP-based Methodology for Improved Timing-Driven Placement

An LP-based Methodology for Improved Timing-Driven Placement An LP-based Methodology for Improved Timing-Driven Placement Qingzhou (Ben) Wang, John Lillis and Shubhankar Sanyal Department of Computer Science University of Illinois at Chicago Chicago, IL 60607 {qwang,

More information

ADVANCING fabrication processes have enabled ever increased

ADVANCING fabrication processes have enabled ever increased 748 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 5, MAY 2005 Mixed Block Placement via Fractional Cut Recursive Bisection Ameya Ramesh Agnihotri, Satoshi

More information

DUE TO the wide use of Intellectual Property modules and

DUE TO the wide use of Intellectual Property modules and IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 9, SEPTEMBER 2008 1621 MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs Tung-Chieh

More information

Implementation of Multi-Way Partitioning Algorithm

Implementation of Multi-Way Partitioning Algorithm Implementation of Multi-Way Partitioning Algorithm Kulpreet S. Sikand, Sandeep S. Gill, R. Chandel, and A. Chandel Abstract This paper presents a discussion of methods to solve partitioning problems and

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement

SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN, 2011 1 SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement Jackey Z. Yan, Chris Chu and Wai-Kei Mak Abstract This paper presents

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

On Legalization of Row-Based Placements

On Legalization of Row-Based Placements On Legalization of Row-Based Placements Abstract Cell overlaps and pin blockages by power stripes in the results of global placement are guaranteed to prevent successful routing. However, common techniques

More information

Probability-Based Approach to Rectilinear Steiner Tree Problems

Probability-Based Approach to Rectilinear Steiner Tree Problems 836 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Probability-Based Approach to Rectilinear Steiner Tree Problems Chunhong Chen, Member, IEEE, Jiang Zhao,

More information

An Effective Routability-driven Placer for Mixedsize Circuit Designs

An Effective Routability-driven Placer for Mixedsize Circuit Designs Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 4-18-2013 An Effective Routability-driven Placer for Mixedsize Circuit Designs Shuai Li School of Electrical and

More information

Reporting of Standard Cell Placement Results

Reporting of Standard Cell Placement Results Reporting of Standard Cell Placement Results Patrick H. Madden SUNY Binghamton Computer Science Department pmadden@cs.binghamton.edu http://vlsicad.cs.binghamton.edu ABSTRACT VLSI fabrication technology

More information

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees Latha N.R. Computer Science and Engineering, Visveswaraiah Technological University B.M.S. College of Engineering, Bangalore,

More information

Placement techniques for the physical synthesis of nanometer-scale integrated circuits

Placement techniques for the physical synthesis of nanometer-scale integrated circuits Graduate Theses and Dissertations Graduate College 2009 Placement techniques for the physical synthesis of nanometer-scale integrated circuits Natarajan Viswanathan Iowa State University Follow this and

More information

FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model

FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model Natarajan Viswanathan nataraj@iastate.edu Chris Chong-Nuen Chu cnchu@iastate.edu Department

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Unification of Partitioning, Placement and Floorplanning

Unification of Partitioning, Placement and Floorplanning Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya Synplicity Inc. 600 W. California Ave. Sunnyvale, CA 95054 saurabh@synplicity.com Shubhyant Chaturvedi Advanced Micro Devices Austin,

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Keep it Straight: Teaching Placement how to Better Handle Designs with Datapaths

Keep it Straight: Teaching Placement how to Better Handle Designs with Datapaths Keep it Straight: Teaching Placement how to Better Handle Designs with Datapaths Samuel I. Ward, Myung-Chul Kim, Natarajan Viswanathan, Zhuo Li, Charles Alpert, Earl E. Swartzlander, Jr., David Z. Pan

More information

Genetic Algorithm for Circuit Partitioning

Genetic Algorithm for Circuit Partitioning Genetic Algorithm for Circuit Partitioning ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Capo: Congestion-driven Placement for Standard-cell and RTL Netlists with Incremental Capability

Capo: Congestion-driven Placement for Standard-cell and RTL Netlists with Incremental Capability Capo: Congestion-driven Placement for Standard-cell and RTL Netlists with Incremental Capability Jarrod A. Roy, David A. Papa and Igor L. Markov The University of Michigan, Department of EECS 2260 Hayward

More information

Placement ABOUT THIS CHAPTER 11.1 INTRODUCTION CHAPTER. Chris Chu Iowa State University, Ames, Iowa

Placement ABOUT THIS CHAPTER 11.1 INTRODUCTION CHAPTER. Chris Chu Iowa State University, Ames, Iowa CHAPTER Placement 11 Chris Chu Iowa State University, Ames, Iowa ABOUT THIS CHAPTER Placement is the process of determining the locations of circuit devices on a die surface. It is an important stage in

More information

Modular Placement for Interposer based Multi-FPGA Systems

Modular Placement for Interposer based Multi-FPGA Systems Modular Placement for Interposer based Multi-FPGA Systems Fubing Mao 1, Wei Zhang 2, Bo Feng 3, Bingsheng He 1, Yuchun Ma 3 1 School of Computer Engineering, Nanyang Technological University, Singapore

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

A Semi-Persistent Clustering Technique for VLSI Circuit Placement

A Semi-Persistent Clustering Technique for VLSI Circuit Placement A Semi-Persistent Clustering Technique for VLSI Circuit Placement Charles Alpert, Andrew Kahng, Gi-Joon Nam, Sherief Reda and Paul Villarrubia IBM Corp. 114 Burnet Road, Austin, Texas, 78758 Department

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Congestion Reduction during Placement with Provably Good Approximation Bound 1

Congestion Reduction during Placement with Provably Good Approximation Bound 1 Congestion Reduction during Placement with Provably Good Approximation Bound 1 Xiaojian Yang Maogang Wang Ryan Kastner Soheil Ghiasi Majid Sarrafzadeh Synplicity Inc. Sunnyvale, California Cadence Design

More information

Partitioning-based Methods for VLSI Placement

Partitioning-based Methods for VLSI Placement To appear in Physical Design Handbook, CRC Press 2007 Partitioning-based Methods for VLSI Placement Jarrod A. Roy and Igor L. Markov University of Michigan, EECS Department, Ann Arbor, MI 48109-2121 1

More information

Parallel Implementation of VLSI Gate Placement in CUDA

Parallel Implementation of VLSI Gate Placement in CUDA ME 759: Project Report Parallel Implementation of VLSI Gate Placement in CUDA Movers and Placers Kai Zhao Snehal Mhatre December 21, 2015 1 Table of Contents 1. Introduction...... 3 2. Problem Formulation...

More information

ECE260B CSE241A Winter Placement

ECE260B CSE241A Winter Placement ECE260B CSE241A Winter 2005 Placement Website: / courses/ ece260b- w05 ECE260B CSE241A Placement.1 Slides courtesy of Prof. Andrew B. Slides courtesy of Prof. Andrew B. Kahng VLSI Design Flow and Physical

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

(Lec 14) Placement & Partitioning: Part III

(Lec 14) Placement & Partitioning: Part III Page (Lec ) Placement & Partitioning: Part III What you know That there are big placement styles: iterative, recursive, direct Placement via iterative improvement using simulated annealing Recursive-style

More information

Digital VLSI Design. Lecture 7: Placement

Digital VLSI Design. Lecture 7: Placement Digital VLSI Design Lecture 7: Placement Semester A, 2016-17 Lecturer: Dr. Adam Teman 29 December 2016 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from

More information

Detailed Placement Algorithm for VLSI Design with Double-Row Height Standard Cells

Detailed Placement Algorithm for VLSI Design with Double-Row Height Standard Cells Detailed Placement Algorithm for VLSI Design with Double-Row Height Standard Cells Gang Wu and Chris Chu Department of Electrical and Computer Engineering, Iowa State University, IA Email: {gangwu, cnchu}@iastate.edu

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter Netlist and System Partitioning Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu Chapter Netlist and System Partitioning. Introduction. Terminology. Optimization Goals. Partitioning

More information

Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement. Imran M. Rizvi John Antony K.

Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement. Imran M. Rizvi John Antony K. Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement By Imran M. Rizvi John Antony K. Manavalan TimberWolf Algorithm for Placement Abstract: Our goal was

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

/97 $ IEEE

/97 $ IEEE NRG: Global and Detailed Placement Majid Sarrafzadeh Maogang Wang Department of Electrical and Computer Engineering, Northwestern University majid@ece.nwu.edu Abstract We present a new approach to the

More information

Animation of VLSI CAD Algorithms A Case Study

Animation of VLSI CAD Algorithms A Case Study Session 2220 Animation of VLSI CAD Algorithms A Case Study John A. Nestor Department of Electrical and Computer Engineering Lafayette College Abstract The design of modern VLSI chips requires the extensive

More information

Large Scale Circuit Placement: Gap and Promise

Large Scale Circuit Placement: Gap and Promise Large Scale Circuit Placement: Gap and Promise Jason Cong 1, Tim Kong 2, Joseph R. Shinnerl 1, Min Xie 1 and Xin Yuan 1 UCLA VLSI CAD LAB 1 Magma Design Automation 2 Outline Introduction Gap Analysis of

More information

Design And Optimization Of Tracks For Channel Routing In Vlsi Physical Design

Design And Optimization Of Tracks For Channel Routing In Vlsi Physical Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 07-11 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design And Optimization Of Tracks

More information

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Dept., Los Angeles, CA 90095-1596 fcaldwell,abk,imarkovg@cs.ucla.edu

More information

Detailed Placement for Modern FPGAs using 2D Dynamic Programming

Detailed Placement for Modern FPGAs using 2D Dynamic Programming Detailed Placement for Modern FPGAs using 2D Dynamic Programming Shounak Dhar University of Texas at Austin shounak.dhar@utexas.edu Saurabh Adya Intel Corporation sadya@altera.com Love Singhal Intel Corporation

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Slicing Floorplan With Clustering Constraint

Slicing Floorplan With Clustering Constraint 652 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 the cluster(v) if the area of cluster(v) [ group(u; w) does not exceed the area constraint M.

More information

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning George Karypis University of Minnesota, Department of Computer Science / Army HPC Research Center Minneapolis, MN 55455 Technical Report

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

AS process technology advances, the feature size is getting

AS process technology advances, the feature size is getting 18 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 7, NO. 7, JULY 008 NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density

More information

Optimal Placement by Branch-and-Price

Optimal Placement by Branch-and-Price Optimal Placement by Branch-and-Price Pradeep Ramachandaran 1 Ameya R. Agnihotri 2 Satoshi Ono 2,3,4 Purushothaman Damodaran 1 Krishnaswami Srihari 1 Patrick H. Madden 2,4 SUNY Binghamton SSIE 1 and CSD

More information

Constraint-Driven Floorplan Repair

Constraint-Driven Floorplan Repair Constraint-Driven Floorplan Repair MICHAEL D. MOFFITT, JARROD A. ROY, IGOR L. MARKOV, MARTHA E. POLLACK IBM Austin Research Lab University of Michigan, Ann Arbor In this work we propose a new and efficient

More information

Hypergraph Partitioning With Fixed Vertices

Hypergraph Partitioning With Fixed Vertices Hypergraph Partitioning With Fixed Vertices Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov UCLA Computer Science Department, Los Angeles, CA 90095-596 Abstract We empirically assess the implications

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 267 Short Papers Hypergraph Partitioning with Fixed Vertices Charles J. Alpert, Andrew E. Caldwell,

More information

Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement

Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement Chen Li Magma Design Automation, Inc. 5460 Bayfront Plaza, Santa Clara, CA 95054 chenli@magma-da.com Cheng-Kok Koh School

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Improving Min-cut Placement for VLSI Using Analytical Techniques

Improving Min-cut Placement for VLSI Using Analytical Techniques Improving Min-cut Placement for VLSI Using Analytical Techniques Saurabh N. Adya University of Michigan EECS Department Ann Arbor, MI 19-1 sadya@eecs.umich.edu Igor L. Markov University of Michigan EECS

More information

Faster Placer for Island-style FPGAs

Faster Placer for Island-style FPGAs Faster Placer for Island-style FPGAs Pritha Banerjee and Susmita Sur-Kolay Advanced Computing and Microelectronics Unit Indian Statistical Institute 0 B. T. Road, Kolkata, India email:{pritha r, ssk}@isical.ac.in

More information

DPlace: Anchor Cell based Quadratic Placement with Linear Objective

DPlace: Anchor Cell based Quadratic Placement with Linear Objective DPlace: Anchor Cell based Quadratic Placement with Linear Objective Tao Luo and David Z. Pan Department of Electrical and Computer Engineering The University of Texas at Austin {tluo, dpan}@ece.utexas.edu

More information

Timing-Constrained I/O Buffer Placement for Flip- Chip Designs

Timing-Constrained I/O Buffer Placement for Flip- Chip Designs Timing-Constrained I/O Buffer Placement for Flip- Chip Designs Zhi-Wei Chen 1 and Jin-Tai Yan 2 1 College of Engineering, 2 Department of Computer Science and Information Engineering Chung-Hua University,

More information

Floorplan considering interconnection between different clock domains

Floorplan considering interconnection between different clock domains Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 115 Floorplan considering interconnection between different clock domains Linkai

More information