University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution

Size: px
Start display at page:

Download "University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution"

Transcription

1 Post Routing Performance Optimization via Multi-Link Insertion and Non-Uniform Wiresizing Tianxiong Xue and Ernest S. Kuh Department of Electrical Engineering and Computer Sciences University of California at Berkeley Berkeley, CA Abstract Most existing performance-driven and clock routing algorithms construct optimal routing topology for each net individually without considering its routability on the chip, so they can not guarantee performance after all nets are routed. This paper proposes a new approach for post routing performance optimization via multi-link insertion and non-uniform wiresizing, which improves the performance of a net topology obtained from a global routing solution. Unlike previous approaches, it can achieve reduction in both maximum delay and skew to satisfy user specied constraints and minimizes the routing resource consumed. During optimization, the topology of the net is kept routable. Experiments show that link insertion and wiresizing can improve net performance signicantly, and among all approaches, multi-link insertion and wiresizing achieves the best performance and area ef- ciency. 1 Introduction The advent of sub-micron and deep sub-micron technologies has made interconnect routing problem critical in performance-driven physical design for high density ICs and MCMs. Routing tools must have the ability to generate feasible topologies of nets and guarantee their performance. In recent years, several performance-driven routing algorithms have been proposed[1, 2, 5, 8]. Unlike conventional routing approaches, their objective for routing topology construction or wiresizing is to minimize the maximum or critical delay of the net. Another category of problems closely related to performancedriven routing is clock routing, which aims at reducing the maximum delay skew among sinks of a net. There have been numerous approaches for zero-skew solutions[9, 11], recent work by [3, 4] extends the existing methods to address the bounded-skew problem. Most of these existing performance-driven and clock routing algorithms are in fact pre-routing methods which have several limitations: 1. The optimal routing topology for each net is constructed individually without considering its routability on the entire chip. Since the initial net topologies are subject to signicant modications during This research was sponsored by the Semiconductor Research Corp. (SRC) contract 94-DC-324. the global routing in order to generate a feasible solution under routing resource constraints(congestion and blockage), pre-routing methods can not guarantee net performance after all nets are routed. 2. These approaches address the optimization of maximum delay and skew separately and fail to achieve reduction in both of them, in fact, many sacrice delay for skew minimization. 3. Most approaches aim at minimizing the maximum delay orskew of a net, which often leads to overconstrained routing solutions that are not optimized in routing area. In real design, minimizing the net routing area is usually the objective of optimization, while the net delay and skew are only required to satisfy certain bounds which can be known exactly only after a feasible global routing solution is obtained. 4. Existing approaches restrict routing topologies to either trees or other xed topologies, such simplication sacrices the exibility in routing. This paper proposes a new approach for post routing performance optimization via multi-link insertion and non-uniform wiresizing, which improves the performance of an existing net topology after global routing. Unlike [6], which greedily adds new edges into an existing tree on a geometric graph, we analyze in detail the impact of link insertion on maximum delay and skew of any arbitrary topology and develop a link insertion and wiresizing algorithm that can achieve the best improvement in performance. Our method extends the single link approach in [10] tomulti-link insertion and wiresizing so that better performance and area eciency can be achieved. During optimization, links are inserted sequentially between the source and current node with maximum delay (skew) in the topology with shortest feasible length, each link is wiresized non-uniformly under routing resource constraints. The objective is to satisfy the performance requirements with minimum routing area consumption. Our approach overcomes the limitations of previous ones: 1. It can reduce maximum delay and skew simultaneously to satisfy user-specied constraints and allow area-performance trade-os. 2. It guarantees the routability of the net and treats the tree and mesh structures in the same manner without any restrictions on routing topology. Since link insertion changes both the net topology

2 and its admittance matrix, it has advantage over wiresizing-only approaches for delay and skew reduction. Actually, the latter can be regarded as special cases of link insertion that aect node admittances only. The rest of this paper is organized as follows. Section 2 analyzes the impact of link insertion on maximum delay and skew reduction; Section 3 proposes the multilink insertion and wiresizing approach with optimality analysis; Section 4 shows experimental results which demonstrate the eectiveness of our approach for post routing performance improvement. 2 Delay and Skew Analysis 2.1 Denitions The routing topology N of a net is formulated as a network of uniformly distributed RC lines, each line is formulated by the lumped RC model. Trees and meshes are treated without distinction in our following discussions. We adopt the convention that the ground node in N is not numbered, the source consists of a voltage source in series with a driver resistance R d inserted between the ground and reference node n ref. The remaining nodes in N are numbered from 1 to n = jn j. The loading capacitance at sinks in N is denoted by C s. The resistance matrix of N is denoted by R =[R ij ], where each entry R ij in ohms, is equal to the potential in volts at node i if a 1A current were injected into node j while all nodes in N other than j were open circuited[7]. Notice that the driver resistance R d should be a part of every R ij. In the special case where N is a tree, R ij is simply the total resistance along the common path shared by nodeiand j. Denote c =[C j ] as the capacitance vector of N, where C j is the ground capacitance at node j 2 N. The Elmore delay D i at node i is then: D i = X j R ij C j (1) Consider N as an undirected connected graph. Suppose N is not bi-connected with reference node n ref being one of its articulation points, i.e., there are several sub-components N1;N2;:::;N k branched out from the source and are connected to each other only at n ref. (If n ref is not an articulation point of N, then N = N k ) (Fig. 2). articulation point N1 Dene the maximum node delay in Nas D max = maxfd i ji 2 N g. Denote the delay skew between nodes i; j by D ij = D i D j. The maximum delay skew in N, D max, is then dened as D max = maxfjd ij jji; j 2 N g. To study the impact of changes in topology on D max and D max,we establish a new routing topology N 0 by adding an additional link e with parameters R e and C e between n ref and a chosen node n 2 N (Fig. 2). This establishes a direct link from node n to the source and has the potential to achieve the largest reduction in delay and skew at node n among all possible links between n and nodes in N. Denote R 0 and c 0 as the resistance matrix and capacitance vector of N 0, Dmax 0 and Dmax 0 as the maximum delay and delay skew of N 0 respectively. 2.2 Changes in Node Delay Without losing generality, wechoose n 2 N k. According to the analysis in [10], the following conclusions hold for maximum delay reduction. Lemma 1 If both i; j 2 N k, R 0 ij <R ij, and when R e decreases, R 0 ij also decreases; otherwise, R0 ij = R ij. Theorem 1 With proper R e, C e values, Di 0 < D i, 8i 2 N k, and when R e decreases, Di 0 also decreases. Corollary 1 If there is only one component rooted at n ref (N = N k ), Dmax 0 <D max holds with proper R e ;C e. The situation in which multiple sub-components are rooted at n ref can be handled by inserting multiple links into the topology, connecting to dierent components. Therefore, according to Theorem 1, the maximum delay can always be reduced by adding new links properly into the existing topology. 2.3 Changes in Delay Skew To study the impact of topology change on delay skew, we further decompose N k into two sub-components, N k1 and N k2. N k1, N k2 connect to each other only at n(articulation point), i.e., n is on every path from a node in N k2 to n ref (Fig. 2). Notice that a node with maximum delay skew must also have the maximum delay, which is denoted as n max in later context. Dene R(i; j; m) =R im R jm. According to the analysis in [10], the following conclusions hold for maximum delay skew reduction. Rd n ref V + s e N2 Lemma 2 8m 2 N, if j 2 N k2, R 0 (n; j; m) = R(n; j; m); otherwise, R 0 (n; j; m) < R(n; j; m), and when R e decreases, R 0 (n; j; m) decreases. N k1 n N k2 Nk Theorem 2 If j 62 N k2, D 0 nj < D nj holds with proper values of R e, C e. When R e decreases, D 0 nj also decreases. articulation point Fig. 2 Decomposition of N and N k Corollary 2 If n is chosen as n max, D 0 max < D max holds with proper values of R e ;C e.

3 Corollary 2 implies that we can always reduce the maximum delay skew of an existing routing topology by adding a new link properly between n ref and n max. Recall that similar approach is used to reduce the maximum delay of the topology. So unlike previous algorithms which often sacrice delay for skew minimization, our approach can achieve reduction in both maximum delay and delay skew of a net topology. 3 Multi-Link Insertion and Non-uniform Wiresizing Since the maximum delay and skew reduction requires appropriate values of R e ;C e, each inserted link must be built and wiresized properly in order to optimize net performance. For this purpose, a post routing performance optimization approach isdeveloped. It sequentially inserts multiple links into an existing topology obtained from a global routing solution and nonuniformly wiresizes each link under routing resource constraints so that net performance requirements are satised with minimum area consumption. 3.1 Non-uniform Link Wiresizing Problem Formulation Without losing generality, we assume in the following analysis that there is only one sub-component rooted as n ref (N = N k ) and n max is unique at any time during the optimization (the situation in which multiple n max s exist can be easily handled by adding one link to each of them). Suppose we form a new topology N 0 by establishing a k-segment link e between current n max and n ref. De- ne length and width vectors of e as l =(l1;:::;l k ), w =(w1;:::;w k ) and w ub as the vector of wire width constraints on w. The R, C values of each segment i 2 e, R i ;C i, satisfy: R i = r o l i =w i ; C i = c0l i w i where r0;c0 are the unit resistance and capacitance of the link with minimum width w0, respectively. The total R, C values of link e, R e, C e, can then be expressed as: kx l i R e = r0 ; w i=1 i kx C e = c0 l i w i (2) i=1 The delay and skew of N 0 can be measured by K = (P P sp )=P sp, where P is the maximum delay or delay skew, and P sp is its specied constraint. K max = maxfk delay ;K skew g measures the performance of the net and K max 0 implies the requirements for maximum delay and skew are both satised Non-uniform Link Wiresizing Since reduction in maximum delay and skew favors link with small values of R e, C e which are both monotonic in l according to Eqn (2), a shortest path algorithm can be applied to obtain a feasible route for the inserted link e under routing resource constraints. Once the route is determined, l is xed and w becomes the only adjustable vector in N 0. The maximum delay and delay skew, both can be expressed as functions of w, are not monotonic in w since C e is proportional to w while R e is inversely proportional to w(eqn (2)). This non-uniform wiresizing problem can be formulated as an optimization process, which aims at satisfying specied maximum delay constraints, D bound, with minimum routing area lw (Notice that the maximum delay and skew reductions are consistent): Minimize lw Subject to: D 0 max (w) D bound 0 < w w ub Since D 0 max(w) is non-linear with respect to w, the wiresizing is a non-linear programming problem which can be solved eectively by the Sequential Quadratic Programming (SQP) method as explained in [10]. 3.2 Multi-Link Insertion and Non-uniform Wiresizing According to the delay and skew analysis, the maximum delay and skew reduction favors link connecting to n max that may change during the optimization process. Therefore, a multi-link insertion and nonuniform wiresizing algorithm is designed. It rst establishes the shortest link e connecting to the current n max and non-uniformly wiresizes e to satisfy delay bound D bound that is reduced by p at each iteration. When maximum delay node switches from n max to n 0 max, a new link e 0 connecting to n 0 max will be established if continued wiresizing of e can not satisfy the performance requirements with less area consumption than e 0. This link insertion and wiresizing process continues until K max 0isachieved. The Algorithm() 1. Initialization: 1.1 Input existing net topology N and its performance requirements. 1.2 Identify initial n max and K max, set initial D bound and its decreasing rate p. 2. Link establishment: Build new topology N 0 by establishing a shortest feasible link e between n ref and n max. 3. Non-uniform link wiresizing: While K max > 0 and n max remains unchanged: 3.1 Reduce D bound by p. 3.2 Non-uniformly wiresize e (Sec ). 3.3 Evaluate K max and area e. 4. Multi-link insertion (n max switches to n 0 max ): If no link exists between n ref and n 0 max: 4.1 Save current w e0 and area e0 of e, estimate area e 0 of a new link e 0 between n 0 max and n ref with minimum width. 4.2 While K max > 0&area e area e0 + area e 0: Continue wiresizing e, estimate K max and area e.

4 4.3 If area e > area e0 + area e 0(e 0 should be built): Restore w e0 of e, update D 0 max Set n max = n 0 max ;e=e0, goto Step 2. Else (e 0 already exists between n ref and n 0 max) 4.4 Set n max = n 0 max ;e= e0, goto Step 3. If K max 0 is satised at any step, the process will terminate. It should also be noticed that at Step 4: 1. The establishment of a new link e 0 would consume certain amount of routing resource(area e 0 ). Therefore, when n max switches to n 0 max, e 0 will not be built if continued wiresizing of e can achieve K max 0 with less additional area than area e 0 (Step ). 2. Since same node may repeatedly become n max during the iterative process, a link to current n max from n ref may already exist. In that case, this existing link will continue to be wiresized until new n max emerges or the performance becomes satisfactory (Step 4.4). 3.3 Optimality Analysis Uniform vs Non-uniform Wiresizing The wiresizing of e aects D 0 max since it determines the distribution of C e along e. Intuitively, large capacitive segments should be placed close to the source so that R ij s are small for node j 2 e with large C j. This implies that non-uniform wiresizing can achieve better results in performance optimization than uniform wiresizing. Lemma 3 To achieve a certain amount of reduction in D 0 max, non-uniform wiresizing consumes less routing area than uniform wiresizing Link to n max vs Link to Other Node Maximum delay can be reduced most if link e is connected to n max, since this would reduce R 0 jn max s more than a link to any other node. For area consumption, the following lemma can be established. Lemma 4 To achieve a certain amount of reduction in D max, a link connecting to n max consumes less routing area than a link to any other node Max Delay vs Skew Reduction According to Corollary 2 in Section 2, maximum delay and skew reductions are consistent if a link is connected to n max. This is formally stated for inserted link with non-uniform wiresizing as the following. Lemma 5 If an inserted link is connected to n max and wiresized, the maximum delay and skew reductions are consistent, i.e., larger reduction in Dmax 0 leads to larger reduction in Dmax. 0 Lemma 5 implies that all conclusions for maximum delay reduction can also be extended to maximum delay skew reduction, so the following corollary can be established according to the denition of K max. Corollary 3 Lemma 3,4 are both valid for the reduction of K max Optimality of the Algorithm Based on analysis above, the optimality of the algorithm in Sec 3.2 can be established. Proposition 1 Among all possible link insertion and wiresizing approaches that satisfy specied performance constraints, the Algorithm in Section 3.2 is optimal in terms of routing area consumption. Proof: 1. According to Lemma 3 and 4, Step 2, 3 and 4 in the Algorithm consume minimum routing area for certain performance improvement. 2. Since the Algorithm terminates as soon as K max 0 is obtained, it does not consume any area more than necessary. Therefore, the Algorithm achieves satisfactory performance with minimum routing area. 2 4 Experimental Results The post routing performance optimization algorithms have been implemented and tested. The following MCM parameters are used in delay evaluation (courtesy of Prof. Wayne Dai of UC Santa Cruz from data provided by AT&T): driver resistance R d = 25, unit resistance and capacitance R = 0:008=m; C = 0:06fF=m, loading capacitance C s =1pF. Table 1. Physical Characteristics of Nets Net #of Total wire w ub # of inserted no. pins length (mm) (xw0) Links Table 1 lists the physical characteristics of eight testing topologies obtained from a global routing solution, which are critical for chip performance. The number of segments on each inserted link is set to 6. The width of each link segment i, w i, belongs to the interval (w0;w iub ) where w0 is the minimum width of a link and w iub is the upper bound on w i, known from the current routing solution. In our testing, we assume that segments of inserted links in each topology share the same wire width upper bound w ub.for multi-link insertion and wiresizing, two inserted links can usually satisfy the specied performance requirements. The lengths of some inserted links are 20% to 40% longer than the Manhattan distance between n ref and n max, since the shortest path may be either unroutable or can not be wiresized up to w ub. Three dierent approaches are tested and compared: 1. Single link insertion and uniform wiresizing, which only establishes a single link to the original n max and wiresizes it uniformly. 2. Single link insertion and non-uniform wiresizing, which establishes a single link like Approach 1 but wiresizes it non-uniformly (same as in [10]).

5 3. Multi-link insertion and non-uniform wiresizing, which applies the multi-link insertion and wiresizing algorithm in Sec D max(% of Dmax) p x p Area multi non uni single non uni single uni Fig. 5 Maximum Delay vs Link Routing Area D max(% of Dmax) p1 xp Area multi non uni single non uni single uni Fig. 6 Maximum Skew vs Link Routing Area Fig. 5 and 6 show the trade-os between maximum delay (skew) reduction and the routing area of the inserted links in testing topology 1 by the three approaches. Here, Dmax 0 and Dmax 0 are measured by the percentages of the original D max and D max values before optimization. Point p1 on the curves marks the insertion of the rst link to the original n max, which reduces D max and D max byover 25% and 40% respectively. Initially, the curve by Approach 3 matches the curve by Approach 2, since both approaches non-uniformly wiresize the same link. The insertion of new link by Approach 3 due to the switching of maximum delay node (marked by point p2 on the curves) results in faster reduction in both D max and D max indicated by the slopes of the curves right to p2. Among all three approaches, the multi-link insertion and non-uniform wiresizing algorithm achieves the best performance and routing area eciency. It is the only approach that can simultaneously reduce D max and D max byover 38% and 60% respectively. Table 2 and 3 show the reductions in D max and D max by the three approaches. For the two single link insertion and wiresizing approaches, the solution is the best achievable in terms of minimizing K max = maxfk delay ;K skew g. The multi-link approach stops as soon as K max 0isachieved, better results in performance are possible if the process continues. From these tables, it can be observed that after post routing optimization: 1. All three approaches can improve net performance signicantly. Onaverage, D max is reduced by 37% to 43% and D max is reduced by 59% to 63%. K delay and K skew, which measure the gaps between current Dmax 0 and D0 max and their specied bounds, are reduced from average of 0:80 and 1:75 to be within the range of (0:11; 0:005). These demonstrate the eectiveness of our post routing performance optimization approaches. 2.Among the three approaches, multi-link insertion and non-uniform wiresizing achieves the best performance in terms of maximum delay and skew reduction. It can generate feasible solutions that satisfy the performance requirements (K max 0) in all cases where the best solutions by the two single link approaches fail to reach the specied bounds for both maximum delay and skew (K max > 0). Table 4 compares the link routing area by the three methods and demonstrates the area eciency of the multi-link approach. To achieve the performances listed in Table 2 and 3, the multi-link approach consumes an average of 39:53% less area than the single link uniform wiresizing method, while the area saving by the single link non-uniform wiresizing over the uniform wiresizing is only 16:94%. In summary, the multi-link non-uniform wiresizing method is the best among three approaches in terms of both performance improvement and area eciency. References [1] K. Boese, A. Kahng, B. Mccoy and G. Robins, \Rectilinear Steiner Trees with Minimum Elmore Delay", Proc. DAC 31, pp , [2] J. Cong and K. Leung, \Optimal Wiresizing Under the Distributed Elmore Delay Model", Proc. ICCAD 93, pp , [3] J. Cong and C. K. Koh, \Minimum-Cost Bounded- Skew Clock Routing", Proc. ISCAS 95, [4] D. Huang, A. Kahng, A. Tsao, \On the Bounded- Skew Clock and Steiner Routing Problems", Proc. DAC 32, pp , [5] X. Hong, T. Xue, E. Kuh, C. Cheng, J. Huang \Performance- Driven Steiner Tree Algorithms For Global Routing", Proc. DAC 30, pp , [6] B. McCoy and G. Robins, \Non-Tree Routing",Proc. ED&T 94, pp , [7] A. E. Ruehli, Circuit Analysis, Simulation and Design, Advances in CAD For VLSI, Vol.3, [8] S. Sapatnekar, \Interconnect Optimization under the Elmore Delay Model", Proc. of DAC 31, pp , [9] R. S. Tsay, \Exact Zero Skew", Proc. ICCAD 91, pp , [10] T. Xue, E. S. Kuh, \Post Routing Performance Optimization via Tapered Link Insertion and Wiresizing", Proc. EURO-DAC 95, to appear. [11] Q. Zhu, W.M. Dai, J. Xi, \Optimal Sizing of High-Speed Clock Networks Based on Distributed RC and Lossy Transmission Line Models", Proc. ICCAD 93, pp , 1993.

6 Table 2. Maximum Delay Reduction by Link Insertion and Wiresizing Before Optimization Single Sink Multi Link Net Specied Uniform Sizing Non Uni. Sizing Non Uni. Sizing No. Red.(-%) K delay Red.(-%) K delay Red.(-%) K delay Red.(-%) K delay avg Table 3. Maximum Skew Reduction by Link Insertion and Wiresizing Net Before Optimization Specied Single Sink Uniform Sizing Non Uni. Sizing Multi Link Non Uni. Sizing No. Red.(-%) K skew Red.(-%) K skew Red.(-%) K skew Red.(-%) K skew avg Table 4. Area Consumption by Link Insertion and Wiresizing Net Single Link Uniform Sizing Non Uniform Sizing Multi Link Non Uniform Sizing No. Area (xw0) Area (xw0) Saving (-%) Area (xw0) Saving (-%) avg

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095 Email: fcong,pang@cs.ucla.edu

More information

Driver. Equiv res R d

Driver. Equiv res R d RC Interconnect Optimization under the Elmore Delay Model Sachin S. Sapatnekar Department of Electrical Engineering and Computer Engineering Iowa State University Ames, IA 50011 Abstract An ecient solution

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Renshen Wang Department of Computer Science and Engineering University of California, San Diego La Jolla,

More information

Partitioning. Hidenori Sato Akira Onozawa Hiroaki Matsuda. BTM. Bakoglu et al. [2] proposed an H-tree structure.

Partitioning. Hidenori Sato Akira Onozawa Hiroaki Matsuda. BTM. Bakoglu et al. [2] proposed an H-tree structure. Balanced-Mesh Clock Routing Technique Using Circuit Partitioning Hidenori Sato kira Onozawa Hiroaki Matsuda NTT LSI Laboratories 3-1, Morinosato Wakamiya, tsugi-shi, Kanagawa Pref., 243-01, Japan. bstract

More information

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations XXVII IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, OCTOBER 5, 2009 Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Renshen Wang 1 Takumi Okamoto 2

More information

Interconnect Delay and Area Estimation for Multiple-Pin Nets

Interconnect Delay and Area Estimation for Multiple-Pin Nets Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Z. Pan UCLA Computer Science Department Los Angeles, CA 90095 Sponsored by SRC and Avant!! under CA-MICRO Presentation

More information

Clock Skew Optimization Considering Complicated Power Modes

Clock Skew Optimization Considering Complicated Power Modes Clock Skew Optimization Considering Complicated Power Modes Chiao-Ling Lung 1,2, Zi-Yi Zeng 1, Chung-Han Chou 1, Shih-Chieh Chang 1 National Tsing-Hua University, HsinChu, Taiwan 1 Industrial Technology

More information

High-Speed Clock Routing. Performance-Driven Clock Routing

High-Speed Clock Routing. Performance-Driven Clock Routing High-Speed Clock Routing Performance-Driven Clock Routing Given: Locations of sinks {s 1, s,,s n } and clock source s 0 Skew Bound B >= 0 If B = 0, zero-skew routing Possibly other constraints: Rise/fall

More information

[14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM

[14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM Journal of High Speed Electronics and Systems, pp65-81, 1996. [14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM IEEE Design AUtomation Conference, pp.573-579,

More information

Bounded-Skew Clock and Steiner Routing

Bounded-Skew Clock and Steiner Routing Bounded-Skew Clock and Steiner Routing JASON CONG, ANDREW B. KAHNG, CHENG-KOK KOH, and C.-W. ALBERT TSAO University of California, Los Angeles We study the minimum-cost bounded-skew routing tree problem

More information

Algorithms for an FPGA Switch Module Routing Problem with. Application to Global Routing. Abstract

Algorithms for an FPGA Switch Module Routing Problem with. Application to Global Routing. Abstract Algorithms for an FPGA Switch Module Routing Problem with Application to Global Routing Shashidhar Thakur y Yao-Wen Chang y D. F. Wong y S. Muthukrishnan z Abstract We consider a switch-module-routing

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Interconnect Design for Deep Submicron ICs

Interconnect Design for Deep Submicron ICs ! " #! " # - Interconnect Design for Deep Submicron ICs Jason Cong Lei He Kei-Yong Khoo Cheng-Kok Koh and Zhigang Pan Computer Science Department University of California Los Angeles CA 90095 Abstract

More information

International Journal of Foundations of Computer Science c World Scientic Publishing Company DFT TECHNIQUES FOR SIZE ESTIMATION OF DATABASE JOIN OPERA

International Journal of Foundations of Computer Science c World Scientic Publishing Company DFT TECHNIQUES FOR SIZE ESTIMATION OF DATABASE JOIN OPERA International Journal of Foundations of Computer Science c World Scientic Publishing Company DFT TECHNIQUES FOR SIZE ESTIMATION OF DATABASE JOIN OPERATIONS KAM_IL SARAC, OMER E GEC_IO GLU, AMR EL ABBADI

More information

An Iterative Approach for Delay-Bounded. Qing Zhu Mehrdad Parsa Wayne W.M. Dai. Board of Studies in Computer Engineering

An Iterative Approach for Delay-Bounded. Qing Zhu Mehrdad Parsa Wayne W.M. Dai. Board of Studies in Computer Engineering An Iterative Approach for Delay-Bounded Minimum Steiner Tree Construction Qing Zhu Mehrdad Parsa Wayne W.M. Dai Board of Studies in Computer Engineering University of California, Santa Cruz, CA 95064 qingz,

More information

II (Sorting and) Order Statistics

II (Sorting and) Order Statistics II (Sorting and) Order Statistics Heapsort Quicksort Sorting in Linear Time Medians and Order Statistics 8 Sorting in Linear Time The sorting algorithms introduced thus far are comparison sorts Any comparison

More information

Floorplan Area Minimization using Lagrangian Relaxation

Floorplan Area Minimization using Lagrangian Relaxation Floorplan Area Minimization using Lagrangian Relaxation F.Y. Young 1, Chris C.N. Chu 2, W.S. Luk 3 and Y.C. Wong 3 1 Department of Computer Science and Engineering The Chinese University of Hong Kong New

More information

Algorithms for Non-Hanan-Based Optimization for VLSI Interconnect under a Higher-Order AWE Model

Algorithms for Non-Hanan-Based Optimization for VLSI Interconnect under a Higher-Order AWE Model 446 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 4, APRIL 2000 Algorithms for Non-Hanan-Based Optimization for VLSI Interconnect under a Higher-Order AWE

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

Tree Structure and Algorithms for Physical Design

Tree Structure and Algorithms for Physical Design Tree Structure and Algorithms for Physical Design Chung Kuan Cheng, Ronald Graham, Ilgweon Kang, Dongwon Park and Xinyuan Wang CSE and ECE Departments UC San Diego Outline: Introduction Ancestor Trees

More information

Dongsheng Wang Ernest S. Kuh. University of California at Berkeley. Berkeley, CA goal of router MRC is to pursue the nal crosstalk-free

Dongsheng Wang Ernest S. Kuh. University of California at Berkeley. Berkeley, CA goal of router MRC is to pursue the nal crosstalk-free A Performance-Driven MCM Router ith Special Consideration of Crosstalk Reduction Dongsheng Wang Ernest S. Kuh Department of Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Zero-Skew Clock Routing Trees With Minimum Wirelength. Kenneth D. Boese and Andrew B. Kahng. UCLA Computer Science Dept., Los Angeles, CA

Zero-Skew Clock Routing Trees With Minimum Wirelength. Kenneth D. Boese and Andrew B. Kahng. UCLA Computer Science Dept., Los Angeles, CA Zero-Skew Clock Routing Trees With Minimum Wirelength Kenneth D. Boese and Andrew B. Kahng UCLA Computer Science Dept., Los Angeles, CA 90024-1596 Abstract In the design of high performance VLSI systems,

More information

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as Optimal Wire and Transistor Sizing for Circuits With Non-Tree Topology Lieven Vandenberghe (UCLA) Stephen Boyd (Stanford University) Abbas El Gamal (Stanford University) applies to general (nontree) RC

More information

Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines

Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines Zhou B. B., Brent R. P. and Tridgell A. y Computer Sciences Laboratory The Australian National University Canberra,

More information

A buffer planning algorithm for chip-level floorplanning

A buffer planning algorithm for chip-level floorplanning Science in China Ser. F Information Sciences 2004 Vol.47 No.6 763 776 763 A buffer planning algorithm for chip-level floorplanning CHEN Song 1, HONG Xianlong 1, DONG Sheqin 1, MA Yuchun 1, CAI Yici 1,

More information

IN recent years, interconnect delay has become an increasingly

IN recent years, interconnect delay has become an increasingly 436 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 4, APRIL 1999 Non-Hanan Routing Huibo Hou, Jiang Hu, and Sachin S. Sapatnekar, Member, IEEE Abstract This

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Trees, Trees and More Trees

Trees, Trees and More Trees Trees, Trees and More Trees August 9, 01 Andrew B. Kahng abk@cs.ucsd.edu http://vlsicad.ucsd.edu/~abk/ How You ll See Trees in CS Trees as mathematical objects Trees as data structures Trees as tools for

More information

Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines

Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines B. B. Zhou, R. P. Brent and A. Tridgell Computer Sciences Laboratory The Australian National University Canberra,

More information

Buffered Steiner Trees for Difficult Instances

Buffered Steiner Trees for Difficult Instances Buffered Steiner Trees for Difficult Instances C. J. Alpert 1, M. Hrkic 2, J. Hu 1, A. B. Kahng 3, J. Lillis 2, B. Liu 3, S. T. Quay 1, S. S. Sapatnekar 4, A. J. Sullivan 1, P. Villarrubia 1 1 IBM Corp.,

More information

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij COUPLING AWARE ROUTING Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University kastner,elib,majid@ece.northwestern.edu ABSTRACT

More information

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica A New Register Allocation Scheme for Low Power Data Format Converters Kala Srivatsan, Chaitali Chakrabarti Lori E. Lucke Department of Electrical Engineering Minnetronix, Inc. Arizona State University

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

APPLICATION OF THE FUZZY MIN-MAX NEURAL NETWORK CLASSIFIER TO PROBLEMS WITH CONTINUOUS AND DISCRETE ATTRIBUTES

APPLICATION OF THE FUZZY MIN-MAX NEURAL NETWORK CLASSIFIER TO PROBLEMS WITH CONTINUOUS AND DISCRETE ATTRIBUTES APPLICATION OF THE FUZZY MIN-MAX NEURAL NETWORK CLASSIFIER TO PROBLEMS WITH CONTINUOUS AND DISCRETE ATTRIBUTES A. Likas, K. Blekas and A. Stafylopatis National Technical University of Athens Department

More information

Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles

Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Ying Rao, Tianxiang Yang University of Wisconsin Madison {yrao, tyang}@cae.wisc.edu ABSTRACT Buffer

More information

AN EFFICIENT APPROACH TO SIMULTANEOUS TRANSISTOR AND INTERCONNECT SIZING

AN EFFICIENT APPROACH TO SIMULTANEOUS TRANSISTOR AND INTERCONNECT SIZING AN EFFICIENT APPROACH TO SIMULTANEOUS TRANSISTOR AND INTERCONNECT SIZING Jason Cong and Lei He Department of Computer Science University of California, Los Angeles, CA 90095 * ABSTRACT In this paper, we

More information

Timing-Driven Maze Routing

Timing-Driven Maze Routing 234 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 Timing-Driven Maze Routing Sung-Woo Hur, Ashok Jagannathan, and John Lillis Abstract This

More information

Optimum Alphabetic Binary Trees T. C. Hu and J. D. Morgenthaler Department of Computer Science and Engineering, School of Engineering, University of C

Optimum Alphabetic Binary Trees T. C. Hu and J. D. Morgenthaler Department of Computer Science and Engineering, School of Engineering, University of C Optimum Alphabetic Binary Trees T. C. Hu and J. D. Morgenthaler Department of Computer Science and Engineering, School of Engineering, University of California, San Diego CA 92093{0114, USA Abstract. We

More information

Li Minqiang Institute of Systems Engineering Tianjin University, Tianjin , P.R. China

Li Minqiang Institute of Systems Engineering Tianjin University, Tianjin , P.R. China Multi-level Genetic Algorithm (MLGA) for the Construction of Clock Binary Tree Nan Guofang Tianjin University, Tianjin 07, gfnan@tju.edu.cn Li Minqiang Tianjin University, Tianjin 07, mqli@tju.edu.cn Kou

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

8ns. 8ns. 16ns. 10ns COUT S3 COUT S3 A3 B3 A2 B2 A1 B1 B0 2 B0 CIN CIN COUT S3 A3 B3 A2 B2 A1 B1 A0 B0 CIN S0 S1 S2 S3 COUT CIN 2 A0 B0 A2 _ A1 B1

8ns. 8ns. 16ns. 10ns COUT S3 COUT S3 A3 B3 A2 B2 A1 B1 B0 2 B0 CIN CIN COUT S3 A3 B3 A2 B2 A1 B1 A0 B0 CIN S0 S1 S2 S3 COUT CIN 2 A0 B0 A2 _ A1 B1 Delay Abstraction in Combinational Logic Circuits Noriya Kobayashi Sharad Malik C&C Research Laboratories Department of Electrical Engineering NEC Corp. Princeton University Miyamae-ku, Kawasaki Japan

More information

A Novel Performance-Driven Topology Design Algorithm

A Novel Performance-Driven Topology Design Algorithm A Novel Performance-Driven Topology Design Algorithm Min Pan, Chris Chu Priyadarshan Patra Electrical and Computer Engineering Dept. Intel Corporation Iowa State University, Ames, IA 50011 Hillsboro, OR

More information

An Interconnect-Centric Design Flow for Nanometer. Technologies

An Interconnect-Centric Design Flow for Nanometer. Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong Department of Computer Science University of California, Los Angeles, CA 90095 Abstract As the integrated circuits (ICs) are scaled

More information

Don't Cares in Multi-Level Network Optimization. Hamid Savoj. Abstract

Don't Cares in Multi-Level Network Optimization. Hamid Savoj. Abstract Don't Cares in Multi-Level Network Optimization Hamid Savoj University of California Berkeley, California Department of Electrical Engineering and Computer Sciences Abstract An important factor in the

More information

Crosslink Insertion for Variation-Driven Clock Network Construction

Crosslink Insertion for Variation-Driven Clock Network Construction Crosslink Insertion for Variation-Driven Clock Network Construction Fuqiang Qian, Haitong Tian, Evangeline Young Department of Computer Science and Engineering The Chinese University of Hong Kong {fqqian,

More information

Toward Optimal Routing Trees. Computer Science Department, UCLA, Los Angeles, CA

Toward Optimal Routing Trees. Computer Science Department, UCLA, Los Angeles, CA Toward Optimal Routing Trees Kenneth D. Boese, Andrew B. Kahng, Bernard A. McCoy y and Gabriel Robins y Computer Science Department, UCLA, Los Angeles, CA 9004-1596 y Computer Science Department, University

More information

Toward the joint design of electronic and optical layer protection

Toward the joint design of electronic and optical layer protection Toward the joint design of electronic and optical layer protection Massachusetts Institute of Technology Slide 1 Slide 2 CHALLENGES: - SEAMLESS CONNECTIVITY - MULTI-MEDIA (FIBER,SATCOM,WIRELESS) - HETEROGENEOUS

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

High-Performance Routing Trees. y Computer Science Department, University of Virginia, Charlottesville, VA

High-Performance Routing Trees. y Computer Science Department, University of Virginia, Charlottesville, VA High-Performance Routing Trees With Identied Critical Sinks Kenneth D. Boese, Andrew B. Kahng and Gabriel Robins y Computer Science Department, University of California at Los Angeles, Los Angeles, CA

More information

PERFORMANCE optimization has always been a critical

PERFORMANCE optimization has always been a critical IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 11, NOVEMBER 1999 1633 Buffer Insertion for Noise and Delay Optimization Charles J. Alpert, Member, IEEE, Anirudh

More information

3-1, Morinosato Wakamiya, Atsugi-shi Uchisaiwai-chou, Chiyoda-ku. were also integrated in the proposed algorithms. 2.

3-1, Morinosato Wakamiya, Atsugi-shi Uchisaiwai-chou, Chiyoda-ku. were also integrated in the proposed algorithms. 2. A Global Router Optimizing Timing and Area for High-Speed Bipolar LSI's Ikuo Harada y and Hitoshi Kitazawa z y NTT LSI Laboratories z NTT R&D Headquarters 3-1, Morinosato Wakamiya, Atsugi-shi 1-1-7 Uchisaiwai-chou,

More information

Buffered Routing Tree Construction Under Buffer Placement Blockages

Buffered Routing Tree Construction Under Buffer Placement Blockages Buffered Routing Tree Construction Under Buffer Placement Blockages Abstract Interconnect delay has become a critical factor in determining the performance of integrated circuits. Routing and buffering

More information

A New Theory of Deadlock-Free Adaptive. Routing in Wormhole Networks. Jose Duato. Abstract

A New Theory of Deadlock-Free Adaptive. Routing in Wormhole Networks. Jose Duato. Abstract A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks Jose Duato Abstract Second generation multicomputers use wormhole routing, allowing a very low channel set-up time and drastically reducing

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations An Efficient Routing Tree Construction Algorithm with uffer Insertion, Wire Sizing and Obstacle Considerations Sampath Dechu Zion Cien Shen Chris C N Chu Physical Design Automation Group Dept Of ECpE Dept

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Fast, Accurate A Priori Routing Delay Estimation

Fast, Accurate A Priori Routing Delay Estimation Fast, Accurate A Priori Routing Delay Estimation Jinhai Qiu Implementation Group Synopsys Inc. Mountain View, CA Jinhai.Qiu@synopsys.com Sherief Reda Division of Engineering Brown University Providence,

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

A Parallel Circuit-Partitioned Algorithm for Timing Driven Cell Placement

A Parallel Circuit-Partitioned Algorithm for Timing Driven Cell Placement A Parallel Circuit-Partitioned Algorithm for Timing Driven Cell Placement John A. Chandy Sierra Vista Research N. Santa Cruz Ave., Suite 0 Los Gatos, CA 500 Prithviraj Banerjee Center for Parallel and

More information

Worst-case running time for RANDOMIZED-SELECT

Worst-case running time for RANDOMIZED-SELECT Worst-case running time for RANDOMIZED-SELECT is ), even to nd the minimum The algorithm has a linear expected running time, though, and because it is randomized, no particular input elicits the worst-case

More information

22 Elementary Graph Algorithms. There are two standard ways to represent a

22 Elementary Graph Algorithms. There are two standard ways to represent a VI Graph Algorithms Elementary Graph Algorithms Minimum Spanning Trees Single-Source Shortest Paths All-Pairs Shortest Paths 22 Elementary Graph Algorithms There are two standard ways to represent a graph

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

22 Elementary Graph Algorithms. There are two standard ways to represent a

22 Elementary Graph Algorithms. There are two standard ways to represent a VI Graph Algorithms Elementary Graph Algorithms Minimum Spanning Trees Single-Source Shortest Paths All-Pairs Shortest Paths 22 Elementary Graph Algorithms There are two standard ways to represent a graph

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

A taxonomy of race. D. P. Helmbold, C. E. McDowell. September 28, University of California, Santa Cruz. Santa Cruz, CA

A taxonomy of race. D. P. Helmbold, C. E. McDowell. September 28, University of California, Santa Cruz. Santa Cruz, CA A taxonomy of race conditions. D. P. Helmbold, C. E. McDowell UCSC-CRL-94-34 September 28, 1994 Board of Studies in Computer and Information Sciences University of California, Santa Cruz Santa Cruz, CA

More information

Postgrid Clock Routing for High Performance Microprocessor Designs

Postgrid Clock Routing for High Performance Microprocessor Designs IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 2, FEBRUARY 2012 255 Postgrid Clock Routing for High Performance Microprocessor Designs Haitong Tian, Wai-Chung

More information

Architectures? Vinoo Srinivasan, Shankar Radhakrishnan, Ranga Vemuri, and Je Walrath. fvsriniva, sradhakr, ranga,

Architectures? Vinoo Srinivasan, Shankar Radhakrishnan, Ranga Vemuri, and Je Walrath.   fvsriniva, sradhakr, ranga, Interconnect Synthesis for Recongurable Multi-FPGA Architectures? Vinoo Srinivasan, Shankar Radhakrishnan, Ranga Vemuri, and Je Walrath E-mail: fvsriniva, sradhakr, ranga, jwalrathg@ececs.uc.edu DDEL,

More information

Aggressor Aggressor Aggressor. 2 C c. C c. Victim Victim Victim

Aggressor Aggressor Aggressor. 2 C c. C c. Victim Victim Victim A Timing Model Incorporating the Eect of Crosstalk on Delay and its Application to Optimal Channel Routing Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota,

More information

Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Jason Cong and Yean-Yow Hwang

Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Jason Cong and Yean-Yow Hwang Boolean Matching for Complex PLBs in LUT-based PAs with Application to Architecture Evaluation Jason Cong and Yean-Yow wang Department of Computer Science University of California, Los Angeles {cong, yeanyow}@cs.ucla.edu

More information

Heap-on-Top Priority Queues. March Abstract. We introduce the heap-on-top (hot) priority queue data structure that combines the

Heap-on-Top Priority Queues. March Abstract. We introduce the heap-on-top (hot) priority queue data structure that combines the Heap-on-Top Priority Queues Boris V. Cherkassky Central Economics and Mathematics Institute Krasikova St. 32 117418, Moscow, Russia cher@cemi.msk.su Andrew V. Goldberg NEC Research Institute 4 Independence

More information

Time Algorithm for Optimal Buffer Insertion with b Buffer Types *

Time Algorithm for Optimal Buffer Insertion with b Buffer Types * An Time Algorithm for Optimal Buffer Insertion with b Buffer Types * Zhuo Dept. of Electrical Engineering Texas University College Station, Texas 77843, USA. zhuoli@ee.tamu.edu Weiping Shi Dept. of Electrical

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

I N. k=1. Current I RMS = I I I. k=1 I 1. 0 Time (N time intervals)

I N. k=1. Current I RMS = I I I. k=1 I 1. 0 Time (N time intervals) ESTIMATION OF MAXIMUM CURRENT ENVELOPE FOR POWER BUS ANALYSIS AND DESIGN y S. Bobba and I. N. Hajj Coordinated Science Lab & ECE Dept. University of Illinois at Urbana-Champaign Urbana, Illinois 61801

More information

The Multi-BSG: Stochastic Approach to. an Optimum Packing of Convex-Rectilinear Blocks. Keishi SAKANUSHI, Shigetoshi NAKATAKE, and Yoji KAJITANI

The Multi-BSG: Stochastic Approach to. an Optimum Packing of Convex-Rectilinear Blocks. Keishi SAKANUSHI, Shigetoshi NAKATAKE, and Yoji KAJITANI The Multi-BSG: Stochastic Approach to an Optimum Packing of Convex-Rectilinear Blocks Keishi SAKANUSHI, Shigetoshi NAKATAKE, and Yoji KAJITANI Department of Electrical and Electronic Engineering Tokyo

More information

(a) (b) (c) Routing Blocks. Channels

(a) (b) (c) Routing Blocks. Channels A Unied Approach to Multilayer Over-the-Cell Routing Sreekrishna Madhwapathy, Naveed Sherwani Siddharth Bhingarde, Anand Panyam Dept. of Computer Science Intel Corporation Western Michigan University Hillsboro,

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

MDP Routing in ATM Networks. Using the Virtual Path Concept 1. Department of Computer Science Department of Computer Science

MDP Routing in ATM Networks. Using the Virtual Path Concept 1. Department of Computer Science Department of Computer Science MDP Routing in ATM Networks Using the Virtual Path Concept 1 Ren-Hung Hwang, James F. Kurose, and Don Towsley Department of Computer Science Department of Computer Science & Information Engineering University

More information

Optimal Prescribed-Domain Clock Skew Scheduling

Optimal Prescribed-Domain Clock Skew Scheduling Optimal Prescribed-Domain Clock Skew Scheduling Li Li, Yinghai Lu, Hai Zhou Electrical Engineering and Computer Science Northwestern University 6B-4 Abstract Clock skew scheduling is an efficient technique

More information

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3 Partial Scan Design Methods Based on Internally Balanced Structure Tomoya TAKASAKI Tomoo INOUE Hideo FUJIWARA Graduate School of Information Science, Nara Institute of Science and Technology 8916-5 Takayama-cho,

More information

Processing Rate Optimization by Sequential System Floorplanning

Processing Rate Optimization by Sequential System Floorplanning Processing Rate Optimization by Sequential System Floorplanning Jia Wang Ping-Chih Wu Hai Zhou EECS Department Northwestern University Evanston, IL 60208, U.S.A. {jwa112, haizhou}@ece.northwestern.edu

More information

Multi-Domain Clock Skew Scheduling-Aware Register Placement to Optimize Clock Distribution Network

Multi-Domain Clock Skew Scheduling-Aware Register Placement to Optimize Clock Distribution Network Multi-Domain Clock Skew Scheduling-Aware Register Placement to Optimize Clock Distribution Network Naser MohammadZadeh 1, Minoo Mirsaeedi 1, Ali Jahanian 2, Morteza Saheb Zamani 1 1 Department of Computer

More information

Bottleneck Steiner Tree with Bounded Number of Steiner Vertices

Bottleneck Steiner Tree with Bounded Number of Steiner Vertices Bottleneck Steiner Tree with Bounded Number of Steiner Vertices A. Karim Abu-Affash Paz Carmi Matthew J. Katz June 18, 2011 Abstract Given a complete graph G = (V, E), where each vertex is labeled either

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

AS VLSI technology scales to deep submicron and beyond, interconnect

AS VLSI technology scales to deep submicron and beyond, interconnect IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL., NO. 1 TILA-S: Timing-Driven Incremental Layer Assignment Avoiding Slew Violations Derong Liu, Bei Yu Member, IEEE, Salim

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Generating More Compactable Channel Routing Solutions 1

Generating More Compactable Channel Routing Solutions 1 Generating More Compactable Channel Routing Solutions 1 Jingsheng Cong Department of Computer Science University of Illinois, Urbana, IL 61801 D. F. Wong Department of Computer Science University of Texas,

More information

TCG-Based Multi-Bend Bus Driven Floorplanning

TCG-Based Multi-Bend Bus Driven Floorplanning TCG-Based Multi-Bend Bus Driven Floorplanning Tilen Ma Department of CSE The Chinese University of Hong Kong Shatin, N.T. Hong Kong Evangeline F.Y. Young Department of CSE The Chinese University of Hong

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT

NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT Venkat K. R. Chiluvuri and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003 Abstract

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

Methods and Models for Combinatorial Optimization Exact methods for the Traveling Salesman Problem

Methods and Models for Combinatorial Optimization Exact methods for the Traveling Salesman Problem Methods and Models for Combinatorial Optimization Exact methods for the Traveling Salesman Problem L. De Giovanni M. Di Summa The Traveling Salesman Problem (TSP) is an optimization problem on a directed

More information

A Novel Method for Power-Flow Solution of Radial Distribution Networks

A Novel Method for Power-Flow Solution of Radial Distribution Networks A Novel Method for Power-Flow Solution of Radial Distribution Networks 1 Narinder Singh, 2 Prof. Rajni Bala 1 Student-M.Tech(Power System), 2 Professor(Power System) BBSBEC, Fatehgarh Sahib, Punjab Abstract

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths

Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths Shuo Zhou, Bo Yao, Hongyu Chen, Yi Zhu and Chung-Kuan Cheng University of California at San Diego La Jolla,

More information

Timing-Driven Interconnect Synthesis

Timing-Driven Interconnect Synthesis Timing-Driven Interconnect Synthesis Jiang Hu, Gabriel Robins, and C. N. Sze Introduction In this chapter we address performance-driven interconnect synthesis, which seeks to optimize circuit performance

More information