Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles

Size: px
Start display at page:

Download "Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles"

Transcription

1 Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Ying Rao, Tianxiang Yang University of Wisconsin Madison {yrao, ABSTRACT Buffer insertion is crucial in deep submicron VLSI design. We implement a new algorithm proposed by Tang et al [1] in which a buffered routing tree is constructed on a grid chip area under buffer location constraints and wiring obstacles. We differ from the original algorithm in that we adopt Elmore delay model instead of the Rubinstein s model [2]. Tree structure analysis is first made to dissect a routing tree into components of several categories. Such categorization, including wire path, buffered path, buffer combination, BC-subtree has made it possible for us to enumerate different routing tree structures, thus enabling formulating the Maze Routing with Buffer Insertion problem as a shortest path graph problem. With existing graph algorithms we are then able to find optimal solutions. 1. INTRODUCTION Routing has become one of the most challenging tasks facing VLSI circuit designers as fabrication technology moves into deep sub-micron territory. It has been shown that, as a result of scaling, interconnect delays becomes the most significant part of total system delay [3]. Routing information is highly desirable at an early stage during the layout design process for helping designers achieve various performance optimizations. There has been considerable previous research in optimizing interconnect delays. Two main approaches are buffer insertion and wire sizing. We are going to focus on buffer insertion. Work has shown that when a single long wire is properly buffered, its delay can be reduced to a linear function of its length [4]. Several algorithms have been proposed. In [5], Van Ginnekan proposed a polynomial time algorithm for buffer placement in distributed RC trees. This buffer insertion algorithm is based on dynamic programming (DP) for a given Steiner tree. It has since been generalized to other applications such as low power [6], buffered Steiner tree construction [7], etc. However, the DP formulation is limited to inserting buffers at tree vertices, treating individual tree edges as a connection of wire segments. To obtain a buffering which is close to optimal, the number of wire segments needs to be made large for long wires. In [8], Alpert and Devgan propose an algorithm for segmenting individual wires to reduce the size of the input to the Van Ginnekan s algorithm. These algorithms can efficiently construct a buffered routing tree, but they cannot handle buffer and routing obstacles. Zhou et al. [9] introduced the concept of buffer obstacle and proposed an algorithm using DP to minimize interconnect delay by simultaneous routing and buffer insertion for 2-pin net with restriction on buffer locations. More recently, Lai and Wong [10] proposed a graph-based formulation of the Maze Routing problem with buffer insertion and wire sizing. Jaganathan et al. [11] studied the buffer insertion problem to maximize the delay reduction to cost ratio. However, they can only deal with 2-pin nets. Cong and Yuan presented in [12] an algorithm for simultaneous routing tree construction and buffer insertion for multiple -pin nets under fixed buffer locations. It used DP approach to construct the routing tree in a bottom-up fashion. Our algorithm challenges this algorithm. Both theoretical and experimental results show that our graph-based algorithm outperforms the DPbased algorithm by a large margin

2 In the rest of this paper report, we first briefly review Elmore delay model for wire, buffer and tree cases in section 2. In section 3, we formally formulate our problem as a routing tree with buffer insertion (RTB) problem. In section 4, we study Lai and Wong s maze routing algorithm with buffer insertion [10] for 2-pin case which is one of the bases for our tree routing algorithm. 2- pin case maze routing is used in one of our important lookup table construction. In section 5, we discuss our maze routing tree algorithm in detail with some actual implementation details that facilitate the algorithm realization. In the last two sections, we show our implementation results and make some further observations. where c(t v ) is simply the capacitive load seen at v, equaling to the sum of loads of the left and right subtrees c(t l(v) ) and c(t r(v) ), and the capacitance of the wores to those subtrees, C el(v) and C er(v). Given this notation, the Elmore delay of wire e v is defined as: cev elmore( e v ) = re ( + c( Tv )) v 2 We adopt Elmore delay model for its accuracy, although Tang et al. adopted Rubinstein s delay model for simplic ity. 2. DELAY MODEL Elmore delay model has been a popular delay model since it was proposed in [4] because of its simple analytical form, fidelity and other properties [13]. If we model a wire segment as a π -type element (Fig. 1), the Elmore delay of the individual wire segment is: where d 1 t wire = rw cw + c 2 ( d c is the downstream capacitance of this wire segment. Similarly, for an inserted buffer with capacitance c b, resistance r b, and intrinsic delay t in, the Elmore delay is computed as: t = r c + t buffer b d in. ) 3. PROBLEM FORMULATION Given a source node and multiple sink nodes on a routing grid, our goal is to construct a routing tree from source to all sinks with feasible buffer insertion in the presence of buffer location blockage and wiring obstacles. Buffers cannot be inserted into buffer-constrained areas and routing wires cannot cross wiring obstacle. We name this problem RTB (Routing-tree with buffer insertion) and give its formal definition as follows: Problem RTB : Given a routing graph G=(V,E), a buffer library B, a source node s V and k sink nodes t 1, t 2,, t k V, find a buffered routing tree T rooted at s and leafed at t i, i=1,, k, for each node v T, b(v) B {0} where b(v)=0 indicates no buffer is inserted at v and b(v) 0 requires v is a buffer node, for each segment l T wire w(l) W, such that the maximum delay from s to t i I=1,,k, is minimized. 4. TWO-PIN MAZE ROUTING WITH BUFFER INSERTION Figure 1: Elmore Delay Model To compute the Elmore delay of a wire in tree T, we first recursively define c(t v ) - the total lumped capacitance of T, as follows: We adopt Lai and Wong s algorithm [10] for 2- pin maze routing with buffer insertion. This algorithm serves a basic element in the lookup table construction phase in our algorithm

3 The 2-pin buffered path maze routing algorithm introduces the idea of directed BPgraph where each node in the graph stands for a buffer choice and each edge connecting two nodes is weighted with its Elmore delay along the edge. For simplic ity, we only consider one buffer type. Figure 3 shows the BP-graph for the sample routing grid in Figure 2. Figure 4: Shortest Path Problem for BP-graph 5. TREE MAZE ROUTING WITH BUFFER INSERTION Figure 2: A Simple Routing Grid (Dark block: wiring obstacles. Grey block: buffer location blockage. s: source. t: sink. Other dots: buffer location candidates.) Figure 3: Part of BP-Graph (Vertex u bo with buffer b 0 and its connections to neighbors in the BP-Graph BG for the grid graph in Figure 2.) Once BP-graph is constructed, the minimumdelay routing problem is a shortest path graph problem from one source and one target in a directed graph. That is, while all buffer locations are considered as buffer insertion location candidates, the preferred buffer locations are found by finding the shortest path from the source to the sink. Figure 4 shows a simple example of the shortest path formulation and its solution. For more generalized applications, we need to construct a routing tree starting from a source (root) to multiple sinks (leaves). In order to analyze a buffered routing tree structure, we need to make a few notations. 5.1 Notations B: buffer library of different buffer choices. (For simplicity, our implementation uses only one buffer type) F: the set of nodes which allows buffer to be inserted (buffer node). N={s} { t i i=1,,k } F: the set consisting of the source, the sinks and the possible buffer nodes. G ={t i i=1,,k} non-empty sink set. Wire Path: a path connecting two nodes in N with buffers inserted between. A wire path is a special buffered path. Buffer Combination: a tree component connecting three or more nodes in N without internal buffers. For convenience, we call the upstream node in a buffer combination as driver, and the other nodes as receiver. Subtree: a tree connecting a buffer node or the source to a subset of sinks. BC-subtree: a subtree beginning with a buffer combination. A BC-subtree is a special subtree. Wire Path Table: a table storing precomputed optimal buffer-to-buffer wire sizing solutions for wire paths. Buffer-Combination Table: a table storing pre-computed optimal solutions for buffered path

4 the buffer combination, the driver resistance of v and the load capacitances of ri (i=1,2,,t). Figure 6 shows a buffer combination with distance configuration e, l 1 and l 2. Degree of buffer combination (=t+1) is small in practice (or it will cause large delay). We can restrict the maximum degree of a buffer combination so that the distance configuration can be obtained by computing a Steiner tree of a small number of nodes. 5.2 Main Idea Figure 5: Illustration of notations. A buffered routing tree is a set of buffer combinations and connecting buffer paths. A buffered path is a set of connected wire paths. Both wire path and buffer combination can be pre-computed and stored in Wirepath Table, Bufferred Path Table and Buffer Combination Table. The minimum delay of a wire path from one node u to another node v is a function of d(u,v) shortest distance between u and v, the driver resistance in u and the load capacitance in v. Thus the wirepath delay can be pre-calculated and stored in the Wirepath lookup table. The lookup entry is d(u,v) when we have only one buffer type. With the above three pre-computed lookup tables, it would then facilitate Elmore delay computations in later phases of our algorithm. The general idea is to enumerate all tree constructing possibilities. A more detailed implementation procedure is shown in the next sub-section. 5.3 Algorithm Formulation The following algorithm formulation shows the steps to implement the general idea stated above. Note that the loops in this algorithm only provide a very general overview. More details on implementation is presented in the next subsection. Refer to our open source for more details on recursive tree construction with exhaustive combination searching and indexing schemes. Distance from node u to v is computed by considering all possible buffer insertion combinations (using aforementioned BP-Graph). With lookup entry being ((u,v), path between u and v), the solution to lookup is the buffer locations along the path and minimum delay between u and v. The delay of a buffer combination (v, r1, r2,, rt) is a function of distance configuration of Figure 7: Graph-RTBW Algorithm by Tang et al. Figure 6: 3-node buffer combination example 5.4 Implementation Procedure: - 4 -

5 1. Retrieve all possible buffer insertion positions from the grid graph by excluding grid nodes in buffer blockage wire obstacle areas 2. Let N={s} F T, where F={f i, buffer positions} and T={t i, target positions}. Calculate the distance between each pair of nodes with maze router. Calculate Elmore delays for each pair of nodes and store them in the Wirepath Table. 3. Construct a directed graph in which all elements of N are vertices, and the edges are weighted by their delays. Note that there the graph is bidirectional between any pair of nodes except that there s only one edge coming from the source and only one edge going into each target. 4. Calculate the shortest path between any pair of vertices by applying Dijkstra s algorithm and store the path information into Buffered Path table. 5. Calculate the length of the Steiner tree of any three nodes in N, calculate the delay of this tree and store them in the Buffer Combination Table. 6. Give an index to each combination of any number of targets. 7. Starting from the 2-combinations of targets, get the minimum delay of trees starting from buffer combination that roots at every driver including source and buffer positions. Also store the tree with minimum delay. The shortest path between any driver and this target combination can then be obtained by applying Dijkstra's algorithm. Sore the results. 8. Go through all the target combinations until finally it reaches the whole set of all targets. The shortest path from source to the combination is the routing tree we want. Figure 8 shows an example of the process to enumerate target combinations. 9. Go through the tree from top(source) down until all the nodes are just one target in which intermediate nodes are positions to insert buffers. 10. Using the tree structure obtained above and maze -route every two adjacent nodes. Figure 8: Enumerate target combinations from 1- combinations to 3-combinations. 6. RESULTS Figure 9.1~9.9 show test results for four cases. Figures are plotted in Figure. Note that on the grid graph, solid squares denote wiring obstacles and dotted squares denote buffer blockage areas. On the routing trees, square with a diamond denotes source; squares with asterisks denote targets and blank squares denotes inserted buffers. Test Case Grid Size 10x10 10x10 10x10 30x30 Number of Targets Number of Buffers System Runtime (Sec.) User Time (Sec.) Figure 9.1: General statistics for 4 tests. (Run-time refers to system-time)

6 Figure 9.2: Routing tree for test Figure 9.6: Routing tree visualization for test 3. Figure 9.3: Routing tree visualization for test Figure 9.4: Routing tree for test 2 Figure 9.5: Routing tree visualization for test 2 Figure 9.7: Routing tree visualization for test Figure 9.8: Routing tree visualization for test

7 Figure 9.9: Routing tree visualization for test 4 7. DISCUSSION We have successfully implemented graph-based routing-tree construction with wiring and buffer location constraints. Experiments have shown that optimal solutions can be found fast for a reasonable problem size. The exhaustive enumeration nature of this algorithm can find optimal buffered routing tree. The future implementation improvement may be made in the final stage of the algorithm. It would be more advantageous in terms of runtime to use Steiner-tree routing algorithms (with obstacles) to route the final buffered tree. Currently we use Lee s algorithm to do maze routing due to very limited time. By comparing our simplified implementation with that proposed in the original algorithm [1], adding wiresizing to the routing tree actually won t take much more effort. Thus a more generalized buffered routing tree with wiresizing can be build upon our current implementation. References [1] X Tang, R Tian, H Xiang and D.F. Wong, A New Algorithm for Routing Tree Construction with Buffer Insertion and Wire Sizing under Obstacle Constriants ICCAD, pages , 2001 [2] J. Rubinstein, P. Penfield, and N.A. Horowitz, Signal delay in RC tree networks, IEEE TCAD 2:3, pp , 1983 [3] The National Technology Roadmap for Semiconductors, Semiconductor Industry Association, 1997 [4] J. Cong and Z. Pan, Interconnect Performance Estimation Models For Synthesis and Design Planning Proc. Intl. Workshop on Logic Synthesis, pp , 1998 [5] L.P.P.P. van Ginneken, Buffer Placement in Distributed RC-tree Networks for Minimal Elmore Delay Proc. Int l Symp. On Circuits and Systems, 1990, pp [6] J. Lillis, C-K Cheng, and T T Y Lin, Optimal Wire Sizing and Buffer Insertion for Low Power and a Generalized Delay Model Proc. Intl. Conf. On Computer- Aided Design, pp , 1995 [7] T Okamoto and J Cong, Buffered Steiner Tree Construction with Wire Sizing for Interconnect Layout Optimization Pro. Intl. Conf. On Computer-Aided Design, pp , 1996 [8] C Alpert and A Devgan, Wire Segmenting for Improved Buffer Insertion Proc of the Design Automation Conf., pp , 1997 [9] H. Zhou, D F Wong, I M Liu and A Aziz, Simultaneously Routing and Buffer Insertion with Restrictions on Buffer Locations Proc of the Design Automation Conf., pp , 1999 [10] M Lai and D F Wong, Maze routing with buffer insertion and wiresizing, DAC-00, pp , 2000 [11] A Jagannathan, S W Hur and J Lillis, A fast algorithm for context -aware buffer insertion, DAC-00, pp , 2000 [12] J Cong and X Yuan, Routing tree construction under fixed buffer locations, DAC-00, pp , 2000 [13] W.C. Elmore, The Transient Response of Damped Linear Network with Particular Regard to Wideband Amplifiers J. Applied Physics, 1948, pp [14] R. Gupta, et al., The Elmore Delay as a Bound for RC Trees with Generalized Input Signals, Proc, ACMIEEE Design Automation Conference, 1995, pp

8 APPENDIX README file for Source Code: File: README Author: Ying Rao, Tianxiang Yang 556 Final Project Source Description. * BUILD SOURCE 1. Untar the source distribution % tar xzf buffer-insertion1.3.tar.gz 2. Make binary by cding into.556_project % make The source should be built correctly. * RUN TESTBENCH 1. At this point you can either run the program by typing % bufferinserstionmain input output matlab_output where input is the input test file accessable under testfile directly, output is the file name for output, and matlab_output is for matlab source code for visualization. or you can simply type % make test1 (or test2, test3, test4) We provide four testbenches for testing purposes. * TESTBENCH FORMAT A sample txt test file looks like: Detailed interpretation of the above file: width, height define blocked areas for wiring. -- there are total 3 blocked areas. -- the first area defined by the second area defined by the third area defined by define blocked areas for buffer insertion -- there are total 2 such areas -- the first defined by the second one is the four numbers represent a rectangle's two diagonal coordinates the source point 4 -- the number of the targets the coordinate of the targets (8,5),(6,3)(9,3) and (9,5) -- Technology Parameters wire unit resistance is and wire unit capacitance is buffer resistance is 180, buffer capacitance is 23.4 and buffer delay is not used in this project(another type of buffer) * TEST RESULT If you run "make test", the test txt result file are put into.testrslt directory. One example txt result is: This is a tree representation of bufferinsertion positions. The digit in the graph is the index of the grid graph nodes. See source code for idx and node coordinates mappings. It would be better to use matlab to view the test result by open and run those out.m files also in this.testrslt directory. Also see project report for mablab figures printouts. * SOURCE LIST AdjcGraph.cpp -- Graph Data Structure Class(linked-list presentation) - 8 -

9 AdjcGraph.h BufferCombTable.cpp -- Table for Buffer Combination BufferCombTable.h PTildeTable.cpp -- Table for Buffered shortest path PTildeTable.h WirePathTable.cpp -- Table for Wire path WirePathTable.h RTBW.cpp -- Algorithm for Single-source-multitargets Buffer Insertion RTBW.h bufferinsertionmain.cpp -- main file gridgraph.cpp -- Input and output Processing Class Gridgraph.h makefile maze.cpp -- Maze Router maze.h readme -- This file. release-notes -- Some notes on development report -- Implementation draft stack_y.cpp -- Stack data structrure stack_y.h CVS -- local CVS management info (ignore them) WirePath.h -- some definitions -- The following utilities are use for calculating the graph node index, manupilating graph things, etc. Bipart.cpp Bipart.h Comb.cpp Comb.h TestBipart.cpp TestUtil.cpp Util.cpp Util.h * KNOWN PROBLEMS We did extensive tests on our programs, and found nothing wrong with finding buffer positions. For example, we tested up to 7 targets in a testbech, the program found all buffers correctly. But due to the high complexity of the algorithm, we couldn't guarantee the correctness of the program. We expect more testbenches and appriciate any feedbacks. The future improvement may be made in the final stage of the algorithm. It would be better to use Steiner-tree algorithm (with obstacles) to get the final routing tree. Currently we use maze router to implement this due to very limited time. In some cases, result may produce some unexpected routing schemes

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations An Efficient Routing Tree Construction Algorithm with uffer Insertion, Wire Sizing and Obstacle Considerations Sampath Dechu Zion Cien Shen Chris C N Chu Physical Design Automation Group Dept Of ECpE Dept

More information

AN OPTIMIZED ALGORITHM FOR SIMULTANEOUS ROUTING AND BUFFER INSERTION IN MULTI-TERMINAL NETS

AN OPTIMIZED ALGORITHM FOR SIMULTANEOUS ROUTING AND BUFFER INSERTION IN MULTI-TERMINAL NETS www.arpnjournals.com AN OPTIMIZED ALGORITHM FOR SIMULTANEOUS ROUTING AND BUFFER INSERTION IN MULTI-TERMINAL NETS C. Uttraphan 1, N. Shaikh-Husin 2 1 Embedded Computing System (EmbCoS) Research Focus Group,

More information

Chapter 28: Buffering in the Layout Environment

Chapter 28: Buffering in the Layout Environment Chapter 28: Buffering in the Layout Environment Jiang Hu, and C. N. Sze 1 Introduction Chapters 26 and 27 presented buffering algorithms where the buffering problem was isolated from the general problem

More information

Buffered Routing Tree Construction Under Buffer Placement Blockages

Buffered Routing Tree Construction Under Buffer Placement Blockages Buffered Routing Tree Construction Under Buffer Placement Blockages Abstract Interconnect delay has become a critical factor in determining the performance of integrated circuits. Routing and buffering

More information

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095 Email: fcong,pang@cs.ucla.edu

More information

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Renshen Wang Department of Computer Science and Engineering University of California, San Diego La Jolla,

More information

Timing-Driven Maze Routing

Timing-Driven Maze Routing 234 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 Timing-Driven Maze Routing Sung-Woo Hur, Ashok Jagannathan, and John Lillis Abstract This

More information

[14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM

[14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM Journal of High Speed Electronics and Systems, pp65-81, 1996. [14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM IEEE Design AUtomation Conference, pp.573-579,

More information

A Novel Performance-Driven Topology Design Algorithm

A Novel Performance-Driven Topology Design Algorithm A Novel Performance-Driven Topology Design Algorithm Min Pan, Chris Chu Priyadarshan Patra Electrical and Computer Engineering Dept. Intel Corporation Iowa State University, Ames, IA 50011 Hillsboro, OR

More information

Time Algorithm for Optimal Buffer Insertion with b Buffer Types *

Time Algorithm for Optimal Buffer Insertion with b Buffer Types * An Time Algorithm for Optimal Buffer Insertion with b Buffer Types * Zhuo Dept. of Electrical Engineering Texas University College Station, Texas 77843, USA. zhuoli@ee.tamu.edu Weiping Shi Dept. of Electrical

More information

Algorithms for Non-Hanan-Based Optimization for VLSI Interconnect under a Higher-Order AWE Model

Algorithms for Non-Hanan-Based Optimization for VLSI Interconnect under a Higher-Order AWE Model 446 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 4, APRIL 2000 Algorithms for Non-Hanan-Based Optimization for VLSI Interconnect under a Higher-Order AWE

More information

PERFORMANCE optimization has always been a critical

PERFORMANCE optimization has always been a critical IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 11, NOVEMBER 1999 1633 Buffer Insertion for Noise and Delay Optimization Charles J. Alpert, Member, IEEE, Anirudh

More information

Fast Delay Estimation with Buffer Insertion for Through-Silicon-Via-Based 3D Interconnects

Fast Delay Estimation with Buffer Insertion for Through-Silicon-Via-Based 3D Interconnects Fast Delay Estimation with Buffer Insertion for Through-Silicon-Via-Based 3D Interconnects Young-Joon Lee and Sung Kyu Lim Electrical and Computer Engineering, Georgia Institute of Technology email: yjlee@gatech.edu

More information

Interconnect Delay and Area Estimation for Multiple-Pin Nets

Interconnect Delay and Area Estimation for Multiple-Pin Nets Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Z. Pan UCLA Computer Science Department Los Angeles, CA 90095 Sponsored by SRC and Avant!! under CA-MICRO Presentation

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 10: Repeater (Buffer) Insertion Introduction to Buffering Buffer Insertion

More information

Porosity Aware Buffered Steiner Tree Construction

Porosity Aware Buffered Steiner Tree Construction IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. XX, NO. Y, MONTH 2003 100 Porosity Aware Buffered Steiner Tree Construction Charles J. Alpert, Gopal Gandham, Milos Hrkic,

More information

A buffer planning algorithm for chip-level floorplanning

A buffer planning algorithm for chip-level floorplanning Science in China Ser. F Information Sciences 2004 Vol.47 No.6 763 776 763 A buffer planning algorithm for chip-level floorplanning CHEN Song 1, HONG Xianlong 1, DONG Sheqin 1, MA Yuchun 1, CAI Yici 1,

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Interconnect Design for Deep Submicron ICs

Interconnect Design for Deep Submicron ICs ! " #! " # - Interconnect Design for Deep Submicron ICs Jason Cong Lei He Kei-Yong Khoo Cheng-Kok Koh and Zhigang Pan Computer Science Department University of California Los Angeles CA 90095 Abstract

More information

Making Fast Buffer Insertion Even Faster Via Approximation Techniques

Making Fast Buffer Insertion Even Faster Via Approximation Techniques 1A-3 Making Fast Buffer Insertion Even Faster Via Approximation Techniques Zhuo Li 1,C.N.Sze 1, Charles J. Alpert 2, Jiang Hu 1, and Weiping Shi 1 1 Dept. of Electrical Engineering, Texas A&M University,

More information

University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution

University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution Post Routing Performance Optimization via Multi-Link Insertion and Non-Uniform Wiresizing Tianxiong Xue and Ernest S. Kuh Department of Electrical Engineering and Computer Sciences University of California

More information

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations XXVII IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, OCTOBER 5, 2009 Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Renshen Wang 1 Takumi Okamoto 2

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Buffered Steiner Trees for Difficult Instances

Buffered Steiner Trees for Difficult Instances Buffered Steiner Trees for Difficult Instances C. J. Alpert 1, M. Hrkic 2, J. Hu 1, A. B. Kahng 3, J. Lillis 2, B. Liu 3, S. T. Quay 1, S. S. Sapatnekar 4, A. J. Sullivan 1, P. Villarrubia 1 1 IBM Corp.,

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

IN recent years, interconnect delay has become an increasingly

IN recent years, interconnect delay has become an increasingly 436 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 4, APRIL 1999 Non-Hanan Routing Huibo Hou, Jiang Hu, and Sachin S. Sapatnekar, Member, IEEE Abstract This

More information

Path-Based Buffer Insertion

Path-Based Buffer Insertion 1346 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 7, JULY 2007 Path-Based Buffer Insertion C. N. Sze, Charles J. Alpert, Jiang Hu, and Weiping Shi Abstract

More information

An Efficient Algorithm For RLC Buffer Insertion

An Efficient Algorithm For RLC Buffer Insertion An Efficient Algorithm For RLC Buffer Insertion Zhanyuan Jiang, Shiyan Hu, Jiang Hu and Weiping Shi Texas A&M University, College Station, Texas 77840 Email: {jerryjiang, hushiyan, jianghu, wshi}@ece.tamu.edu

More information

An Interconnect-Centric Design Flow for Nanometer. Technologies

An Interconnect-Centric Design Flow for Nanometer. Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong Department of Computer Science University of California, Los Angeles, CA 90095 Abstract As the integrated circuits (ICs) are scaled

More information

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij COUPLING AWARE ROUTING Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University kastner,elib,majid@ece.northwestern.edu ABSTRACT

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, 2006 204 Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles

More information

Generating More Compactable Channel Routing Solutions 1

Generating More Compactable Channel Routing Solutions 1 Generating More Compactable Channel Routing Solutions 1 Jingsheng Cong Department of Computer Science University of Illinois, Urbana, IL 61801 D. F. Wong Department of Computer Science University of Texas,

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

Crosslink Insertion for Variation-Driven Clock Network Construction

Crosslink Insertion for Variation-Driven Clock Network Construction Crosslink Insertion for Variation-Driven Clock Network Construction Fuqiang Qian, Haitong Tian, Evangeline Young Department of Computer Science and Engineering The Chinese University of Hong Kong {fqqian,

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Buffer Block Planning for Interconnect Planning and Prediction

Buffer Block Planning for Interconnect Planning and Prediction Buffer Block Planning for Interconnect Planning and Prediction Jason Cong, Tianming Kong and David Zhigang Pan y Department of Computer Science, University of California, Los Angeles, CA 90095 y IBM T.

More information

Fast, Accurate A Priori Routing Delay Estimation

Fast, Accurate A Priori Routing Delay Estimation Fast, Accurate A Priori Routing Delay Estimation Jinhai Qiu Implementation Group Synopsys Inc. Mountain View, CA Jinhai.Qiu@synopsys.com Sherief Reda Division of Engineering Brown University Providence,

More information

CATALYST: Planning Layer Directives for Effective Design Closure

CATALYST: Planning Layer Directives for Effective Design Closure CATALYST: Planning Layer Directives for Effective Design Closure Yaoguang Wei 1, Zhuo Li 2, Cliff Sze 2 Shiyan Hu 3, Charles J. Alpert 2, Sachin S. Sapatnekar 1 1 Department of Electrical and Computer

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

Timing Driven Force Directed Placement with Physical Net Constraints

Timing Driven Force Directed Placement with Physical Net Constraints Timing Driven Force Directed Placement with Physical Net Constraints Karthik Rajagopal Tal Shaked & University of Washington Yegna Parasuram Tung Cao Amit Chowdhary Bill Halpin & Syracuse University ABSTRACT

More information

Fast Algorithms For Slew Constrained Minimum Cost Buffering

Fast Algorithms For Slew Constrained Minimum Cost Buffering 18.3 Fast Algorithms For Slew Constrained Minimum Cost Buffering Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang Karandikar, Zhuo Li, Weiping Shi, C. N. Sze Department of Electrical and Computer Engineering,

More information

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles Tao Huang Dept. of Computer Science and Engineering The Chinese University of Hong Kong Shatin, NT,

More information

Slicing Floorplan With Clustering Constraint

Slicing Floorplan With Clustering Constraint 652 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 the cluster(v) if the area of cluster(v) [ group(u; w) does not exceed the area constraint M.

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Short Papers. Creating and Exploiting Flexibility in Rectilinear Steiner Trees

Short Papers. Creating and Exploiting Flexibility in Rectilinear Steiner Trees IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 605 Short Papers Creating and Exploiting Flexibility in Rectilinear Steiner Trees Elaheh Bozorgzadeh,

More information

Multi-path Routing for Mesh/Torus-Based NoCs

Multi-path Routing for Mesh/Torus-Based NoCs Multi-path Routing for Mesh/Torus-Based NoCs Yaoting Jiao 1, Yulu Yang 1, Ming He 1, Mei Yang 2, and Yingtao Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Department

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

A Faster Approximation Scheme For Timing Driven Minimum Cost Layer Assignment

A Faster Approximation Scheme For Timing Driven Minimum Cost Layer Assignment A Faster Approximation Scheme For Timing Driven Minimum Cost Layer Assignment ABSTRACT Shiyan Hu Dept. of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan 49931

More information

ALGORITHMS FOR THE SCALING TOWARD NANOMETER VLSI PHYSICAL SYNTHESIS. A Dissertation CHIN NGAI SZE

ALGORITHMS FOR THE SCALING TOWARD NANOMETER VLSI PHYSICAL SYNTHESIS. A Dissertation CHIN NGAI SZE ALGORITHMS FOR THE SCALING TOWARD NANOMETER VLSI PHYSICAL SYNTHESIS A Dissertation by CHIN NGAI SZE Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid

Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid Sheng-En David Lin and Dae Hyun Kim Presenter: Dae Hyun Kim (Assistant Professor) daehyun@eecs.wsu.edu School of Electrical Engineering

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Logic Design Process Combinational logic networks Functionality. Other requirements: Size. Power. Primary inputs Performance.

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 7 Specialized Routing Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 7 Specialized Routing 7. Introduction to Area Routing 7. Net Ordering in Area Routing 7.3 Non-Manhattan

More information

Fast Interconnect Synthesis with Layer Assignment

Fast Interconnect Synthesis with Layer Assignment Fast Interconnect Synthesis with Layer Assignment Zhuo Li IBM Research lizhuo@us.ibm.com Tuhin Muhmud IBM Systems and Technology Group tuhinm@us.ibm.com Charles J. Alpert IBM Research alpert@us.ibm.com

More information

Pseudopin Assignment with Crosstalk Noise Control

Pseudopin Assignment with Crosstalk Noise Control 598 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 5, MAY 2001 Pseudopin Assignment with Crosstalk Noise Control Chin-Chih Chang and Jason Cong, Fellow, IEEE

More information

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 http://cadlab.cs.ucla.edu/~cong Outline Global interconnects

More information

Buffer Insertion with Adaptive Blockage Avoidance

Buffer Insertion with Adaptive Blockage Avoidance Buffer Insertion with Adaptie Blockage Aoidance [Extended Abstract] Jiang Hu IBM Microelectronics 11400 Burnet Road Austin, TX 78758 jianghu@usibmcom Charles J Alpert IBM Austin Research Lab 11400 Burnet

More information

Incremental Layer Assignment for Critical Path Timing

Incremental Layer Assignment for Critical Path Timing Incremental Layer Assignment for Critical Path Timing Derong Liu 1, Bei Yu 2, Salim Chowdhury 3, and David Z. Pan 1 1 ECE Department, University of Texas at Austin, Austin, TX, USA 2 CSE Department, Chinese

More information

AS VLSI technology scales to deep submicron and beyond, interconnect

AS VLSI technology scales to deep submicron and beyond, interconnect IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL., NO. 1 TILA-S: Timing-Driven Incremental Layer Assignment Avoiding Slew Violations Derong Liu, Bei Yu Member, IEEE, Salim

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

Wire Type Assignment for FPGA Routing

Wire Type Assignment for FPGA Routing Wire Type Assignment for FPGA Routing Seokjin Lee Department of Electrical and Computer Engineering The University of Texas at Austin Austin, TX 78712 seokjin@cs.utexas.edu Hua Xiang, D. F. Wong Department

More information

Crosstalk Noise Optimization by Post-Layout Transistor Sizing

Crosstalk Noise Optimization by Post-Layout Transistor Sizing Crosstalk Noise Optimization by Post-Layout Transistor Sizing Masanori Hashimoto hasimoto@i.kyoto-u.ac.jp Masao Takahashi takahasi@vlsi.kuee.kyotou.ac.jp Hidetoshi Onodera onodera@i.kyoto-u.ac.jp ABSTRACT

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

Performance-Preserved Analog Routing Methodology via Wire Load Reduction

Performance-Preserved Analog Routing Methodology via Wire Load Reduction Electronic Design Automation Laboratory (EDA LAB) Performance-Preserved Analog Routing Methodology via Wire Load Reduction Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen 2 Dept. of Electrical

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Liang Li lli@cse.cuhk.edu.hk Zaichen Qian zcqian@cse.cuhk.edu.hk Evangeline F. Y. Young fyyoung@cse.cuhk.edu.hk ABSTRACT 1 In this

More information

Probability-Based Approach to Rectilinear Steiner Tree Problems

Probability-Based Approach to Rectilinear Steiner Tree Problems 836 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Probability-Based Approach to Rectilinear Steiner Tree Problems Chunhong Chen, Member, IEEE, Jiang Zhao,

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

Data Caching under Number Constraint

Data Caching under Number Constraint 1 Data Caching under Number Constraint Himanshu Gupta and Bin Tang Abstract Caching can significantly improve the efficiency of information access in networks by reducing the access latency and bandwidth

More information

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Seong-I Lei Wai-Kei Ma Chris Chu Department of Computer Science Department of Computer Science Department of Electrical and Computer

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

Concurrent Flip-Flop and Repeater Insertion for High Performance Integrated Circuits

Concurrent Flip-Flop and Repeater Insertion for High Performance Integrated Circuits Concurrent Flip-Flop and Repeater Insertion for High Performance Integrated Circuits Pasquale Cocchini pasquale.cocchini@intel.com, Intel Labs, CADResearch Abstract For many years, CMOS process scaling

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 11, NOVEMBER 2007 2073 there are multiple types of buffers and the candidate locations for buffering are specified.

More information

Simultaneous Slack Matching, Gate Sizing and Repeater Insertion for Asynchronous Circuits

Simultaneous Slack Matching, Gate Sizing and Repeater Insertion for Asynchronous Circuits Simultaneous Slack Matching, Gate Sizing and Repeater Insertion for Asynchronous Circuits Gang Wu and Chris Chu Department of Electrical and Computer Engineering, Iowa State University, IA Email: {gangwu,

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Ching-Hung Liu, Yao-Hsin Chou, Shin-Yi Yuan, and Sy-Yen Kuo National Taiwan University 1 Outline 2 Outline 3 The

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

Postgrid Clock Routing for High Performance Microprocessor Designs

Postgrid Clock Routing for High Performance Microprocessor Designs IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 2, FEBRUARY 2012 255 Postgrid Clock Routing for High Performance Microprocessor Designs Haitong Tian, Wai-Chung

More information

On the Physicl Layout of PRDT-Based NoCs

On the Physicl Layout of PRDT-Based NoCs On the Physicl Layout of PRDT-Based NoCs Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang Department of Computer Science, Nankai University, Tianin, 000, China Department of Electrical and Computer Engineering,

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Li Minqiang Institute of Systems Engineering Tianjin University, Tianjin , P.R. China

Li Minqiang Institute of Systems Engineering Tianjin University, Tianjin , P.R. China Multi-level Genetic Algorithm (MLGA) for the Construction of Clock Binary Tree Nan Guofang Tianjin University, Tianjin 07, gfnan@tju.edu.cn Li Minqiang Tianjin University, Tianjin 07, mqli@tju.edu.cn Kou

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Routing Robust Channel Router Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Channel Routing Algorithms Previous algorithms we considered only work when one of the types

More information

Optimal Jumper Insertion for Antenna Avoidance under Ratio Upper-Bound

Optimal Jumper Insertion for Antenna Avoidance under Ratio Upper-Bound Optimal Jumper Insertion for Antenna Avoidance under Ratio Upper-Bound Jia Wang and Hai Zhou Electrical Engineering and Computer Science Northwestern University Evanston, IL 60208, USA ABSTRACT Antenna

More information

AS VLSI technology advances, crosstalk becomes increasingly

AS VLSI technology advances, crosstalk becomes increasingly IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 3, MARCH 2005 319 Extended Global Routing With RLC Crosstalk Constraints Jinjun Xiong, Student Member, IEEE, and Lei He, Member,

More information

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction 44.1 Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Digital Filter Synthesis Considering Multiple Adder Graphs for a Coefficient

Digital Filter Synthesis Considering Multiple Adder Graphs for a Coefficient Digital Filter Synthesis Considering Multiple Graphs for a Coefficient Jeong-Ho Han, and In-Cheol Park School of EECS, Korea Advanced Institute of Science and Technology, Daejeon, Korea jhhan.kr@gmail.com,

More information

Generating All Solutions of Minesweeper Problem Using Degree Constrained Subgraph Model

Generating All Solutions of Minesweeper Problem Using Degree Constrained Subgraph Model 356 Int'l Conf. Par. and Dist. Proc. Tech. and Appl. PDPTA'16 Generating All Solutions of Minesweeper Problem Using Degree Constrained Subgraph Model Hirofumi Suzuki, Sun Hao, and Shin-ichi Minato Graduate

More information