applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as

Size: px
Start display at page:

Download "applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as"

Transcription

1 Optimal Wire and Transistor Sizing for Circuits With Non-Tree Topology Lieven Vandenberghe (UCLA) Stephen Boyd (Stanford University) Abbas El Gamal (Stanford University)

2 applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as measure for RC circuit delay example applications: sizing of 1

3 Elmore delay minimization in RC trees dominant time constant minimization in general RC circuits example applications conclusions Outline 2

4 v in v in (t) thres k T v k (t) C > 0, G > 0 (capacitance and conductance matrices) simple model for transistors & wires RC models for digital circuits k 1 0:5 t =,G(v(t), V ); v(0) = 0 dv C dt 3

5 threshold delay (e.g., 50%) power: 1 2 V T C(x)V per transition (i.e., ane in x) area (approximated by ane function of x) Sizing problem design variables: transistor and wire widths C(x), G(x) are ane in design variables x tradeo between... intractable 4

6 v k thres k T delay T elm k Elmore good approximation of 50% delay (for monotonic step resp.) eciently & globally minimized for RC trees geometric programming; c.f. TILOS) (via no useful convexity properties for non-tree circuits The Elmore delay 1 0:5 5

7 0 > 1 2 n : roots of det(c(x) + G(x)) = 0 slowest, i.e., dominant time constant is T dom =,1= 1,1=T dom Dominant time constant node voltages have form v k (t) = 1, P n i=1 ike it good approximation of max threshold delay (usually better than Elmore delay) 6

8 Sizing with dominant time constant constraint T dom T () TG(x), C(x) 0 convex constraint in x (linear matrix inequality) no restrictions on topology (i.e., G, C) example: minimize linear function (e.g., area, power) s.t. upper bound on T dom upper and lower bounds on x i a convex optimization problem (semidenite program) 7

9 convex (but not necessarily dierentiable) constraint Semidenite programming (SDP) minimize c T x subject to F 0 + x 1 F x m F m 0 linear objective function, linear matrix inequality constraint i = F T i 2 R nn ) (F global optimum eciently computed using recent methods interior-point 8

10 Elmore delay minimization in RC trees dominant time constant minimization in general RC circuits conclusions Outline example applications { clock meshes { busses with crosstalk 9

11 quantities of interest: skew, maximum delay, power Clock distribution mesh used in high-performance designs to reduce clock skew DEC alpha) (e.g., non-tree topology: Elmore delay methods nd local [Desai et al., DAC96] optimum 10

12 multiple synchronized drivers variables x i : interconnect widths i x x i minimize power subject to T dom T, 0 x i w max x i Clock mesh example 11

13 T dom small T dom large Power versus dominant time constant 150 T dom globally optimal tradeo curve 100 via semidenite programming power optimal topology varies along tradeo curve 12

14 Q Qs T thres T fastest response A A Q Qs T thres T elm + T dom is a good approximation of max. 50% delay minimizing T dom reduces A AK Two solutions on tradeo curve T dom + T elm 1:0 AK fastest response slowest response slowest response 0:5 0: : :0 13

15 capacitive coupling in deep submicron non-tree topology (non-grounded capacitors) Busses with crosstalk Elmore delay is not a good delay measure (non-monotonic response) step 14

16 s 1 s 2 w13 w14 w15 w12 w11 s12 s13 s14 s15 s11 w21 w22 w23 w24 w25 s21 s22 s23 s24 s25 w31 w32 w33 w34 w35 Example C1 =10 C2 =20 C3 =30 variables: widths w ij, spacing s 1, s 2 coupling capacitances 1=s ij minimize total width s 1 + s 2 subject to bound T dom T, and lower bounds on s ij, w ij upper 15

17 T dom large T dom small Total width versus dominant time constant 140 T dom total width 0 2 globally optimal tradeo curve via semidenite programming 16

18 T dom large T dom v 2 (output line 2) 1 3 v T dom small T dom v v Eect on crosstalk level apply unit step to bus line 2, zero input to lines 1 and , minimizing T dom indirectly reduces crosstalk level 17

19 worst-case: #iterations p problem size in practice: #iterations between 5 and 50 can exploit structure to reduce computation per iteration G(x), C(x) sparse; each entry depends on very few variables can evaluate T dom very eciently using Lanczos algorithm Computational complexity of SDP interior-point methods structure in SDPs arising in circuit sizing 18

20 applies to general (nontree) RC circuits Conclusions dominant time constant as measure for RC circuit delay { multiple sources { loops of resistors { capacitive coupling eciently, globally optimized via semidenite programming no specialized implementation for large-scale problems 19

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Gate Sizing by Lagrangian Relaxation Revisited

Gate Sizing by Lagrangian Relaxation Revisited Gate Sizing by Lagrangian Relaxation Revisited Jia Wang, Debasish Das, and Hai Zhou Electrical Engineering and Computer Science Northwestern University Evanston, Illinois, United States October 17, 2007

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution

University of California at Berkeley. Berkeley, CA the global routing in order to generate a feasible solution Post Routing Performance Optimization via Multi-Link Insertion and Non-Uniform Wiresizing Tianxiong Xue and Ernest S. Kuh Department of Electrical Engineering and Computer Sciences University of California

More information

WE consider the gate-sizing problem, that is, the problem

WE consider the gate-sizing problem, that is, the problem 2760 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL 55, NO 9, OCTOBER 2008 An Efficient Method for Large-Scale Gate Sizing Siddharth Joshi and Stephen Boyd, Fellow, IEEE Abstract We consider

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

Javad Lavaei. Graph-theoretic Algorithm for Nonlinear Power Optimization Problems. Department of Electrical Engineering Columbia University

Javad Lavaei. Graph-theoretic Algorithm for Nonlinear Power Optimization Problems. Department of Electrical Engineering Columbia University Graph-theoretic Algorithm for Nonlinear Power Optimization Problems Javad Lavaei Department of Electrical Engineering Columbia University Joint work with Ramtin Madani, Somayeh Sojoudi, Ghazal Fazelnia

More information

which isaconvex optimization problem in the variables P = P T 2 R nn and x 2 R n+1. The algorithm used in [6] is based on solving this problem using g

which isaconvex optimization problem in the variables P = P T 2 R nn and x 2 R n+1. The algorithm used in [6] is based on solving this problem using g Handling Nonnegative Constraints in Spectral Estimation Brien Alkire and Lieven Vandenberghe Electrical Engineering Department University of California, Los Angeles (brien@alkires.com, vandenbe@ee.ucla.edu)

More information

Effects of FPGA Architecture on FPGA Routing

Effects of FPGA Architecture on FPGA Routing Effects of FPGA Architecture on FPGA Routing Stephen Trimberger Xilinx, Inc. 2100 Logic Drive San Jose, CA 95124 USA steve@xilinx.com Abstract Although many traditional Mask Programmed Gate Array (MPGA)

More information

Convex Optimization. Stephen Boyd

Convex Optimization. Stephen Boyd Convex Optimization Stephen Boyd Electrical Engineering Computer Science Management Science and Engineering Institute for Computational Mathematics & Engineering Stanford University Institute for Advanced

More information

Large-Scale Full-Wave Simulation

Large-Scale Full-Wave Simulation Large-Scale Full-Wave Simulation Sharad Kapur and David Long Integrand Software, Inc. Areas of interest Consistent trends in IC design Increasing operating frequencies Modeling of passive structures (components,

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Gate Sizing by Lagrangian Relaxation Revisited

Gate Sizing by Lagrangian Relaxation Revisited Gate Sizing by Lagrangian Relaxation Revisited Abstract In this paper, we formulate the Generalized Convex Sizing (GCS) problem that unifies and generalizes the sizing problems. We revisit the approach

More information

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095 Email: fcong,pang@cs.ucla.edu

More information

Bias-Variance Tradeos Analysis Using Uniform CR Bound. Mohammad Usman, Alfred O. Hero, Jerey A. Fessler and W. L. Rogers. University of Michigan

Bias-Variance Tradeos Analysis Using Uniform CR Bound. Mohammad Usman, Alfred O. Hero, Jerey A. Fessler and W. L. Rogers. University of Michigan Bias-Variance Tradeos Analysis Using Uniform CR Bound Mohammad Usman, Alfred O. Hero, Jerey A. Fessler and W. L. Rogers University of Michigan ABSTRACT We quantify fundamental bias-variance tradeos for

More information

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS Radu Zlatanovici zradu@eecs.berkeley.edu http://www.eecs.berkeley.edu/~zradu Department of Electrical Engineering and Computer Sciences University

More information

Driver. Equiv res R d

Driver. Equiv res R d RC Interconnect Optimization under the Elmore Delay Model Sachin S. Sapatnekar Department of Electrical Engineering and Computer Engineering Iowa State University Ames, IA 50011 Abstract An ecient solution

More information

An Interconnect-Centric Design Flow for Nanometer. Technologies

An Interconnect-Centric Design Flow for Nanometer. Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong Department of Computer Science University of California, Los Angeles, CA 90095 Abstract As the integrated circuits (ICs) are scaled

More information

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Lun Ye 1 Foong-Charn Chang 1 Peter Feldmann 1 Nagaraj NS 2 Rakesh Chadha 1 Frank Cano 3 1 Bell Laboratories, Murray

More information

Crosstalk Aware Static Timing Analysis Environment

Crosstalk Aware Static Timing Analysis Environment Crosstalk Aware Static Timing Analysis Environment B. Franzini, C. Forzan STMicroelectronics, v. C. Olivetti, 2 20041 Agrate B. (MI), ITALY bruno.franzini@st.com, cristiano.forzan@st.com ABSTRACT Signals

More information

Modeling and Estimation of FPN Components in CMOS Image Sensors

Modeling and Estimation of FPN Components in CMOS Image Sensors Modeling and Estimation of FPN Components in CMOS Image Sensors Abbas El Gamal a, Boyd Fowler a,haomin b,xinqiaoliu a a Information Systems Laboratory, Stanford University Stanford, CA 945 USA b Fudan

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) Performance Model for Inter-chip Busses Considering Bandwidth and Cost Authors: Brock J. LaMeres, University of Colorado / Sunil P. Khatri

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Lecture 12: convergence. Derivative (one variable)

Lecture 12: convergence. Derivative (one variable) Lecture 12: convergence More about multivariable calculus Descent methods Backtracking line search More about convexity (first and second order) Newton step Example 1: linear programming (one var., one

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Sigmala Mani Raju PG Scholar, Dept of ECE, Global College of Engineering & Technology, Kadapa, YSR (Dt), AP, India. Abstract: In

More information

Midterm Examination. Problems Points Total 40. yes

Midterm Examination. Problems Points Total 40. yes The University of Toledo s11ms_fpga.fm - 1 Midterm Examination Problems Points 1. 10. 15. 15 Total 0 Was the exam fair? yes no /1/11 The University of Toledo s11ms_fpga.fm - Problem 1 10 points Hint #1

More information

Memristive stateful logic

Memristive stateful logic Memristive stateful logic Eero Lehtonen, Jussi Poikonen 2 University of Turku, Finland 2 Aalto University, Finland January 22, 24 Outline Basic principle of memristive stateful logic 2 Generalized memristive

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Device And Architecture Co-Optimization for FPGA Power Reduction

Device And Architecture Co-Optimization for FPGA Power Reduction 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

N8VEM S-100 BACKPLANE VERSION 04 MAY 3, 2015 J.B.

N8VEM S-100 BACKPLANE VERSION 04 MAY 3, 2015 J.B. N8VEM S-100 BACKPLANE VERSION 04 MAY 3, 2015 J.B. Background. This board is a copy of Andrew Lynch s Version 03 board (with 8 slots) but with added features. Added features: 9 SLOT Active Termination (copied

More information

Convex Optimization M2

Convex Optimization M2 Convex Optimization M2 Lecture 1 A. d Aspremont. Convex Optimization M2. 1/49 Today Convex optimization: introduction Course organization and other gory details... Convex sets, basic definitions. A. d

More information

Exploiting Low-Rank Structure in Semidenite Programming by Approximate Operator Splitting

Exploiting Low-Rank Structure in Semidenite Programming by Approximate Operator Splitting Exploiting Low-Rank Structure in Semidenite Programming by Approximate Operator Splitting Mario Souto, Joaquim D. Garcia and Álvaro Veiga June 26, 2018 Outline Introduction Algorithm Exploiting low-rank

More information

Architecture Evaluation for

Architecture Evaluation for Architecture Evaluation for Power-efficient FPGAs Fei Li*, Deming Chen +, Lei He*, Jason Cong + * EE Department, UCLA + CS Department, UCLA Partially supported by NSF and SRC Outline Introduction Evaluation

More information

LVDS applications, testing, and performance evaluation expand.

LVDS applications, testing, and performance evaluation expand. Stephen Kempainen, National Semiconductor Low Voltage Differential Signaling (LVDS), Part 2 LVDS applications, testing, and performance evaluation expand. Buses and Backplanes D Multi-drop D LVDS is a

More information

Convex Optimization MLSS 2015

Convex Optimization MLSS 2015 Convex Optimization MLSS 2015 Constantine Caramanis The University of Texas at Austin The Optimization Problem minimize : f (x) subject to : x X. The Optimization Problem minimize : f (x) subject to :

More information

MS&E 213 / CS 269O : Chapter 1 Introduction to Introduction to Optimization Theory

MS&E 213 / CS 269O : Chapter 1 Introduction to Introduction to Optimization Theory MS&E 213 / CS 269O : Chapter 1 Introduction to Introduction to Optimization Theory By Aaron Sidford (sidford@stanford.edu) April 29, 2017 1 What is this course about? The central problem we consider throughout

More information

Section 3 - Backplane Architecture Backplane Designer s Guide

Section 3 - Backplane Architecture Backplane Designer s Guide Section 3 - Backplane Architecture Backplane Designer s Guide March 2002 Revised March 2002 The primary criteria for backplane design are low cost, high speed, and high reliability. To attain these often-conflicting

More information

Retiming. Adapted from: Synthesis and Optimization of Digital Circuits, G. De Micheli Stanford. Outline. Structural optimization methods. Retiming.

Retiming. Adapted from: Synthesis and Optimization of Digital Circuits, G. De Micheli Stanford. Outline. Structural optimization methods. Retiming. Retiming Adapted from: Synthesis and Optimization of Digital Circuits, G. De Micheli Stanford Outline Structural optimization methods. Retiming. Modeling. Retiming for minimum delay. Retiming for minimum

More information

Interconnect Design for Deep Submicron ICs

Interconnect Design for Deep Submicron ICs ! " #! " # - Interconnect Design for Deep Submicron ICs Jason Cong Lei He Kei-Yong Khoo Cheng-Kok Koh and Zhigang Pan Computer Science Department University of California Los Angeles CA 90095 Abstract

More information

Inclusion of Aleatory and Epistemic Uncertainty in Design Optimization

Inclusion of Aleatory and Epistemic Uncertainty in Design Optimization 10 th World Congress on Structural and Multidisciplinary Optimization May 19-24, 2013, Orlando, Florida, USA Inclusion of Aleatory and Epistemic Uncertainty in Design Optimization Sirisha Rangavajhala

More information

PERFORMANCE optimization has always been a critical

PERFORMANCE optimization has always been a critical IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 11, NOVEMBER 1999 1633 Buffer Insertion for Noise and Delay Optimization Charles J. Alpert, Member, IEEE, Anirudh

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Crosstalk Noise Avoidance in Asynchronous Circuits

Crosstalk Noise Avoidance in Asynchronous Circuits Crosstalk Noise Avoidance in Asynchronous Circuits Alexander Taubin (University of Aizu) Alex Kondratyev (University of Aizu) J. Cortadella (Univ. Politecnica Catalunya) Luciano Lavagno (University of

More information

CELL-BASED design technology has dominated

CELL-BASED design technology has dominated 16 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 6, NO., FEBRUARY 007 Performance Benefits of Monolithically Stacked 3-D FPGA Mingjie Lin, Student Member, IEEE, Abbas

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations

Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations XXVII IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, OCTOBER 5, 2009 Symmetrical Buffer Placement in Clock Trees for Minimal Skew Immune to Global On-chip Variations Renshen Wang 1 Takumi Okamoto 2

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Mesh. Mesh Channels. Straight-forward Switching Requirements. Switch Delay. Total Switches. Total Switches. Total Switches?

Mesh. Mesh Channels. Straight-forward Switching Requirements. Switch Delay. Total Switches. Total Switches. Total Switches? ESE534: Computer Organization Previously Saw need to exploit locality/structure in interconnect Day 20: April 7, 2010 Interconnect 5: Meshes (and MoT) a mesh might be useful Question: how does w grow?

More information

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor QUEST 3D RLCG Extraction Depending on Frequency RF Structures Parasitic Extractor Introduction Type of Simulation Inputs / Outputs Graphical Interface Technology Process Layout Field Solver Output DOE

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

An Exact Algorithm for the Statistical Shortest Path Problem

An Exact Algorithm for the Statistical Shortest Path Problem An Exact Algorithm for the Statistical Shortest Path Problem Liang Deng and Martin D. F. Wong Dept. of Electrical and Computer Engineering University of Illinois at Urbana-Champaign Outline Motivation

More information

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij COUPLING AWARE ROUTING Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University kastner,elib,majid@ece.northwestern.edu ABSTRACT

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 24 CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 2.1 INTRODUCTION The high speed digital signal propagates along the transmission lines in the form of transverse electromagnetic (TEM) waves at very

More information

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp.

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp. Networks for Multi-core hips A A ontrarian View Shekhar Borkar Aug 27, 2007 Intel orp. 1 Outline Multi-core system outlook On die network challenges A simple contrarian proposal Benefits Summary 2 A Sample

More information

Topology Optimization and JuMP

Topology Optimization and JuMP Immense Potential and Challenges School of Engineering and Information Technology UNSW Canberra June 28, 2018 Introduction About Me First year PhD student at UNSW Canberra Multidisciplinary design optimization

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

A Hierarchical Matrix Inversion Algorithm for Vectorless Power Grid Verification

A Hierarchical Matrix Inversion Algorithm for Vectorless Power Grid Verification A Hierarchical Matrix Inversion Algorithm for Vectorless Power Grid Verification Xuanxing Xiong and Jia Wang Electrical and Computer Engineering Department Illinois Institute of Technology, Chicago, IL

More information

Interconnect IP for Network-on-Chip

Interconnect IP for Network-on-Chip Interconnect IP for Network-on-Chip J. Liu, M. G. Shen, L-R Zheng and H. Tenhunen Labratory of Electronic and Computer Systems Royal Institute of Technology (KTH) Electrum 229, SE 164 40 Kista/Stockholm

More information

INTERCONNECTION NETWORKS LECTURE 4

INTERCONNECTION NETWORKS LECTURE 4 INTERCONNECTION NETWORKS LECTURE 4 DR. SAMMAN H. AMEEN 1 Topology Specifies way switches are wired Affects routing, reliability, throughput, latency, building ease Routing How does a message get from source

More information

Multi-area Nonlinear State Estimation using Distributed Semidefinite Programming

Multi-area Nonlinear State Estimation using Distributed Semidefinite Programming October 15, 2012 Multi-area Nonlinear State Estimation using Distributed Semidefinite Programming Hao Zhu haozhu@illinois.edu Acknowledgements: Prof. G. B. Giannakis, U of MN Doctoral Dissertation Fellowship

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

CENG 4480 Lecture 11: PCB

CENG 4480 Lecture 11: PCB CENG 4480 Lecture 11: PCB Bei Yu Reference: Chapter 5 of Ground Planes and Layer Stacking High speed digital design by Johnson and Graham 1 Introduction What is a PCB Why we need one? For large scale production/repeatable

More information

Optimal network flow allocation

Optimal network flow allocation Optimal network flow allocation EE384Y Project intermediate report Almir Mutapcic and Primoz Skraba Stanford University, Spring 2003-04 May 10, 2004 Contents 1 Introduction 2 2 Background 2 3 Problem statement

More information

Conic Optimization via Operator Splitting and Homogeneous Self-Dual Embedding

Conic Optimization via Operator Splitting and Homogeneous Self-Dual Embedding Conic Optimization via Operator Splitting and Homogeneous Self-Dual Embedding B. O Donoghue E. Chu N. Parikh S. Boyd Convex Optimization and Beyond, Edinburgh, 11/6/2104 1 Outline Cone programming Homogeneous

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Data Acquisition Specifications a Glossary Richard House

Data Acquisition Specifications a Glossary Richard House NATIONAL INSTRUMENTS The Software is the Instrument Application Note 092 Introduction Data Acquisition Specifications a Glossary Richard House This application note consists of comprehensive descriptions

More information

Alternating Projections

Alternating Projections Alternating Projections Stephen Boyd and Jon Dattorro EE392o, Stanford University Autumn, 2003 1 Alternating projection algorithm Alternating projections is a very simple algorithm for computing a point

More information

Crosslink Insertion for Variation-Driven Clock Network Construction

Crosslink Insertion for Variation-Driven Clock Network Construction Crosslink Insertion for Variation-Driven Clock Network Construction Fuqiang Qian, Haitong Tian, Evangeline Young Department of Computer Science and Engineering The Chinese University of Hong Kong {fqqian,

More information

Incremental Layer Assignment for Critical Path Timing

Incremental Layer Assignment for Critical Path Timing Incremental Layer Assignment for Critical Path Timing Derong Liu 1, Bei Yu 2, Salim Chowdhury 3, and David Z. Pan 1 1 ECE Department, University of Texas at Austin, Austin, TX, USA 2 CSE Department, Chinese

More information

RT54SX T r / T f Experiment

RT54SX T r / T f Experiment 955 East Arques Avenue, Sunnyvale, CA 94086 408-739-1010 RT54SX T r / T f Experiment July 08, 2002 BY Actel Product Engineering 1 DATE: July 08, 2002 DEVICE TYPE: RT54SX16-CQ256E RT54SX32-CQ208P WAFER

More information

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Ramachandra Achar Carleton University 5170ME, Dept. of Electronics Ottawa, Ont, Canada K1S 5B6 *Email: achar@doe.carleton.ca;

More information

Introduction to Convex Optimization. Prof. Daniel P. Palomar

Introduction to Convex Optimization. Prof. Daniel P. Palomar Introduction to Convex Optimization Prof. Daniel P. Palomar The Hong Kong University of Science and Technology (HKUST) MAFS6010R- Portfolio Optimization with R MSc in Financial Mathematics Fall 2018-19,

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

ACOPOSinverter P74. User's Manual. Version: 2.20 (August 2016) Model no.: Original instruction

ACOPOSinverter P74. User's Manual. Version: 2.20 (August 2016) Model no.: Original instruction ACOPOSinverter P74 User's Manual Version: 2.20 (August 2016) Model no.: MAACPIP74-ENG Original instruction All information contained in this manual is current as of its creation/publication. We reserve

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Unconstrained Optimization

Unconstrained Optimization Unconstrained Optimization Joshua Wilde, revised by Isabel Tecu, Takeshi Suzuki and María José Boccardi August 13, 2013 1 Denitions Economics is a science of optima We maximize utility functions, minimize

More information

SHARED MEMORY VS DISTRIBUTED MEMORY

SHARED MEMORY VS DISTRIBUTED MEMORY OVERVIEW Important Processor Organizations 3 SHARED MEMORY VS DISTRIBUTED MEMORY Classical parallel algorithms were discussed using the shared memory paradigm. In shared memory parallel platform processors

More information

NoCIC: A Spice-based Interconnect Planning Tool Emphasizing Aggressive On-Chip Interconnect Circuit Methods

NoCIC: A Spice-based Interconnect Planning Tool Emphasizing Aggressive On-Chip Interconnect Circuit Methods 1 NoCIC: A Spice-based Interconnect Planning Tool Emphasizing Aggressive On-Chip Interconnect Circuit Methods V. Venkatraman, A. Laffely, J. Jang, H. Kukkamalla, Z. Zhu & W. Burleson Interconnect Circuit

More information

[14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM

[14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM Journal of High Speed Electronics and Systems, pp65-81, 1996. [14] M. A. B. Jackson, A. Srinivasan and E. S. Kuh, Clock routing for high-performance ICs, 27th ACM IEEE Design AUtomation Conference, pp.573-579,

More information

Convex Optimization: from Real-Time Embedded to Large-Scale Distributed

Convex Optimization: from Real-Time Embedded to Large-Scale Distributed Convex Optimization: from Real-Time Embedded to Large-Scale Distributed Stephen Boyd Neal Parikh, Eric Chu, Yang Wang, Jacob Mattingley Electrical Engineering Department, Stanford University Springer Lectures,

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 995A ADJUSTABLE LDO LINEAR REGULATOR LT3080EDD DESCRIPTION

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 995A ADJUSTABLE LDO LINEAR REGULATOR LT3080EDD DESCRIPTION LT3080EDD DESCRIPTION Demonstration circuit 995A is an adjustable 1.1A linear regulator featuring LT 3080. Architected as a precision current source and voltage follower, it allows this new regulator to

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Legal and impossible dependences

Legal and impossible dependences Transformations and Dependences 1 operations, column Fourier-Motzkin elimination us use these tools to determine (i) legality of permutation and Let generation of transformed code. (ii) Recall: Polyhedral

More information

Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC

Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC Kyeong Ryu, Alexandru Talpasanu, Vincent Mooney and Jeffrey Davis School of Electrical and Computer Engineering Georgia Institute

More information