Research Article Radix-2 α /4 β Building Blocks for Efficient VLSI s Higher Radices Butterflies Implementation

Size: px
Start display at page:

Download "Research Article Radix-2 α /4 β Building Blocks for Efficient VLSI s Higher Radices Butterflies Implementation"

Transcription

1 VLSI Design Volume 24, Article ID 69594, 3 pages Research Article Radix-2 α /4 β Building Blocks for Efficient VLSI s Higher Radices Butterflies Implementation Marwan A Jaber and Daniel Massicotte Laboratory of Signals and Systems Integrations, Electrical and Computer Engineering Department, UniversitéduQuébec à Trois-Rivières, QC, Canada G9A 5H7 Correspondence should be addressed to Daniel Massicotte; danielmassicotte@uqtrca Received 27 December 23; Revised 2 March 24; Accepted 26 March 24; Published 3 May 24 Academic Editor: Dionysios Reisis Copyright 24 M A Jaber and D Massicotte This is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited This paper describes an embedded FFT processor where the higher radices butterflies maintain one complex multiplier in its critical path Based on the concept of a radix-r fast Fourier factorization and based on the FFT parallel processing, we introduce a new concept of a radix-r Fast Fourier Transform in which the concept of the radix-r computation has been formulated as the combination of α /4 β butterflies implemented in parallel By doing so, the VLSI implementation for higher radices would be feasible since it maintains approximately the same complexity of the /4 which is obtained by block building of the /4 modules The block building process is achieved by duplicating the block circuit diagram of the /4 module that is materialized by means of a feed-back network which will reuse the block circuit diagram of the /4 module Introduction For the past decades, the main concern of the researchers wastodevelopafastfouriertransform(fft)algorithmin which the number of operations required is minimized Since Cooley and Tukey presented their approach showing that the number of multiplications required to compute the discrete Fourier transform (DFT) of a sequence may be considerably reduced by using one of the fast Fourier transform (FFT) algorithms [],interesthasarisenbothinfindingapplications for this powerful transform and for considering various FFT software and hardware implementations The DFT computational complexity increases according tothesquareofthetransformlengthandthusbecomes expensive for large Some algorithms used for efficient DFT computation, known as fast DFT computation algorithms, are based on the divide-and-conquer approach The principle of this method is that a large problem is divided into smaller subproblems that are easier to solve In the FFT case, dividing theworkintosubproblemsmeansthattheinputdatax [n] can be divided into subsets from which the DFT is computed, and then the DFT of the initial data is reconstructed from these intermediate results Some of these methods are known as the Cooley-Tukey algorithm [], split-radix algorithm [2], Winograd Fourier transform algorithm (WFTA) [3], and others, such as the common factor algorithms [4] TheproblemwiththecomputationofanFFTwithan increasing is associated with the straightforward computational structure, the coefficient multiplier memories accesses, and the number of multiplications that should be performed The overall arithmetic operations deployed in the computation of an -point FFT decreases with increasing r as a result; the complexity increases in terms of complex arithmetic computation, parallel inputs, connectivity, and number of phases in the s critical path delay The higher radix involves a nontrivial VLSI implementation problem (ie, increasing critical path delay), which explains why the majority of FFT VLSI implementations are based on radix 2 or 4, due to their low complexity The advantage of using a higher radix is that the number of multiplications and the number of stages to execute an FFT decrease [4 6] The most recent attempts to reduce the complexity of the higher radices s critical path was achieved by the concept of a radix-r fast Fourier transform (FFT) [8, 9], in which the concept of the radix-r computation

2 2 VLSI Design has been formulated as composed engines with identical structures and a systematic means of accessing the corresponding multiplier coefficients This concept enables the design of processing element (B) with the lowest rate of complex multipliers and adders, which utilizes r or r complex multipliers in parallel to implement each of the computations Another strategy was based on targeting hardware oriented radix 2 α or 4 β which is an alternative way of representing higher radices by means of less complicated and simple butterflies in which they used the symmetry and periodicity of the root unity to further lower down the coefficient multiplier memories accesses [ 2] Based on the higher radices and the parallel FFT concepts [2, 22], we will introduce the structure of higher multiplexed 2 α or 4 β butterflies that will reduce the resources in terms of complex multiplier and adder by maintaining the same throughput and the same speed in comparison to the other proposed butterflies structures in [3 2] ThispaperisorganizedasfollowsSection 2describes the higher radices computation and Section 3 details the FFT parallel processing Section 4 elaborates the proposed higher radices butterflies; meanwhile Section 5draws the performance evaluation of the proposed method and Section 6 is devoted to the conclusion 2 Higher Radices Butterfly Computation The basic operation of a radix-r is the so-called computation in which r inputs are combined to give the r outputs via the following operation: X = B r x in, x in =[x (),x (),,x (r ) ] T, X =[X (),X (),,X (r ) ] T, where x in and X are, respectively, the s input and output vectors B r is the matrix (dim(b r )=r r) which can be expressed as B r = W T r, (2) for decimation in frequency (DIF) process, and B r = T r W, (3) for decimation in time (DIT) process In both cases the twiddle factor matrix, W, is a diagonal matrix which is defined by W = diag (, w p,w2p,,w(r )p ) with p =,,,/r s and s =,,,log r and T r is the adder tree matrix within the structure expressed as [4] w w w w w w /r w 2/r w (r )/r w T r = w2/r w 4/r w 2(r )/r (4) [ ] [ w w(r )/r w 2(r )/r w (r )2 /r ] () As seen from (2) and(3), the adder tree, T r,isalmost identical for the two algorithms, with the only difference being the order in which the twiddle factor and the adder tree multiplication are computed A straightforward implementation of the adder tree is not effective for higher radices butterflies due to the added complex multipliers in the higher radices butterflies critical path that will complicate its implementation in VLSI By defining the element of the lth line and the mth column in the matrix T r as [T r ] l,m, [T r ] l,m =w (lm/r), (5) where l =,,,r, m =,,,r,and x represents the operation x modulo By defining W (m,v,s) the set of the twiddle factor matrix as [W ] l,m(v,s) = diag (w (,V,s),w (,V,s),,w (r,v,s) ), (6) where the index r is the FFT s radix, V =,,,V represents the number of words of size r (V = /r),and s =,,, S isthenumberofstages(oriterationss = log r ) Finally, the twiddle factor matrix in (2) and(3) can be expressed for the different stages of an FFT process as [7, 8] s [W ] l,m(v,s) ={ w V/r lr s for l=m elsewhere, for the DIF process and (3) would be expressed as w V/r(S s) lr (S s) [W ] l,m(v,s) ={ for l=m elsewhere, for the DIT process, where l =,,,r is the lth s output, m =,,,r is the mth s input, and x represents the integer part operator of x As a result, the lth transform output during each stage can be illustrated as X (V,s) [l] = r m= for the modified DIF process, and X (V,s) [l] = r m= (7) (8) x (V,s) [m] w lm/r+ V/rs lr s, (9) x (V,s) [m] w lm/r+ V/r(S s) mr (S s), () for the modified DIT process The conceptual key to the modified radix-r FFT is the formulation of the radix-r as composed engines with identical structures and a systematic means of accessing the corresponding multiplier coefficients [8, 9] This enables the design of an engine with the lowest rate of complex multipliers and adders, which utilizes r or r complex multipliers in parallel to implement each of the computations There is a simple mapping from the three indices m, V, and s (FFT stage,, and element) to the addresses of the multiplier coefficients needed by using

3 VLSI Design 3 the proposed FFT address generator in [24] For a single processor environment, this type of with r parallel multipliers would result in decreasing the time delay for the complete FFT by a factor of O(r) Asecondaspectofthe modified radix-r FFT is that they are also useful in parallel multiprocessing environments In essence, the precedence relations between the engines in the radix-r FFT are such that the execution of r engines in parallel is feasible during each FFT stage If each engine is executed on the modified processing element (), it means that each of the r parallel processors would always be executing the same instruction simultaneously, which is very desirable for SIMD implementation on some of the latest DSP cards Basedonthisconcept,KimandSunwooproposeda proper multiplexing scheme that reduces the usage of complex multiplier for the radix-8 from to 5 [25] 3 Parallel FFT Processing For the past decades, there were several attempts to parallelize the FFT algorithm which was mostly based on parallelizing each stage (iteration) of the FFT process [26 28] The most successful FFT parallelization was accomplished by parallelizing the loops during each stage or iteration in the FFT process [29, 3] or by focusing on memory hierarchy utilization that is achieved by the combination of production and consumption of butterflies results, data reuse, and FFT parallelism [3] The definition of the DFT is represented by X (k) = n= x (k) w nk, k [, ], () where x (n) is the input sequence, X (k) is the output sequence, is the transform length, and w is the th root of unity: w = e j2π/ Bothx (n) and X (k) are complex valued sequences Let x (n) be the input sequence of size and let p r denote the degree of parallelism which is multiple of ; therefore, we can rewrite () byconsideringk =,,,V, p=,,,p r, q=,,,p r, V=/p r,andk=k +qv as [9] X (k +q/p r ) =[w /p r x (pr n)w n(k +q r /p r ) /p r n= +w (k /p r +q/p r ) n= x (pr n+)w n(k +q/p r ) /p r + +w (p /p r r )(k +q/p r ) n= x (pr n+(p r ))w n(k +q/p r ) /p r ] (2) If X (k) is the th order Fourier transform n= x (n)w nk, then, X, X ()(k ) (),,andx (k ) (p r ) (k will be the th/p ) r order Fourier transforms given, respectively, by the following expressions: V n= x (p r n)w nv V, V n= x (p r n+)w nv V,,and V n= x (p r n+(p r ))w nv V 4 The Proposed Higher Radices Butterflies Most of the FFTs computation transforms are done within the loops Any algorithm that reduces the number of additions and multiplications in these loops will reduce the overall computation speed The reduction in computation is achieved by targeting trivial multiplications which have a limited speedup or by parallelizing the FFTs that have a significant speedup on the execution time of the FFT In this section we will be limited in the elaboration of the proposed s α /4 β (the /4 families) for the DIT FFT process By rewriting (3)as r X = W x (m) w lm/r r = W x (m) w lm r (3) m= m= andbyapplyingtheconceptoftheparallelfft(introducedin Section 3)onthekernelB r, therefore, (3) will be expressed as r X = W x (m) w lm m= r/α = W [ x (αm) w lmα r m= r/α + m= r + x (αm+(α )) w l(αm+(α )) r ] = W [X () +w l r X () + +w l(α ) r X (α ) ] for l=,, r α (4) It is to be noted that the notation w x in all figures of this paper represents the set of twiddle factor associated with the input defined by [w,,w (r 2) ] = diag(w p,w2p,,w(r )p ) For the radix-4 (r =2and α=2), we can express (3)as X = W [ x (2m) w lm m= = W [X () +w l 4 X ()], 2 +w l 4 m= x (2m+) w lm 2 ] (5) and the conventional 2 (MDC-R2 2 )Bintermsof is illustrated in Figure The use of resources could also be reduced by a feedback network and a multiplexing network where the feedback network is for feeding the ith output of the jth adder network to the jth input of the ith and the multiplexers selectively pass the input data or the feedback, alternately, to the corresponding adder network as

4 4 VLSI Design In In w w w 2 j Out Out Figure : 2 (MDC-R2 2 )B(processing element) CLK In 3 Out 3 CLK Figure 3: Timing block diagram of Figure Partial MuxMDC-R2 2 In 3 In w Radix-2 adder network Out Out 3 Sel t t 2 Figure 4: Timing block diagram of Figure 2(a) In w w 2 Sel j Radix-2 adder network Out where T CM /T CA isthetimerequiredtoperformonecomplex multiplication/addition and the timing block diagram of Figure is sketched in Figure 3 With the rising edge of the clock cycle the inputs data are fed to the s input of the system presented in Figure 2(a) and with the falling edge of the clock cycle the feedbackdataarefedtothe sinputinorderto complete the s operations within one clock cycle, the following conditions should be satisfied: (a) (b) Figure 2: (a) Proposed multiplexed 2 (MuxMDC-R2 2 )B and (b) block circuit diagram of the adder network [7] illustrated in Figure 2(a) [23] The circuit block diagram of the adder network is illustrated in Figure 2(b) that consists of two complex adders only With the rising edge of the clock cycle the inputs data are fed to the s input of the system presented in Figure In order to complete the s operations within one clock cycle, the following conditions should be satisfied: >T CM +2T CA, Throughput = 4, (6) t >T CM +T CA, t 2 >T CA, >(t +t 2 )>T CM +2T CA, Throughput = 4, (7) and the timing block diagram of Figure 2(a) is illustrated in Figure 4 Further block building of these modules could be achieved by duplicating the block circuit diagram of Figure 2(a) and combining them in order to obtain the radix- 8 MDC-R2 3 B; therefore, for this case (r =4and α=2), (4) could be expressed as X (l) = W [ x (2m) w lm 3 m= = W [X () +w l 8 X ()], 3 4 +w l 8 m= x (2m+) w lm 4 ] (8) and the signal flow graph (SFG) of the DIT conventional MDC-R2 3 B is illustrated in Figure 5 The resources in the conventional MDC-R2 3 B could also be

5 VLSI Design 5 In In 4 In 6 w 3 w w 5 radix-4 c Out Out 4 Out Out 5 CLK In 7 In In 5 In 7 w w 4 w 2 w 6 radix-4 j c Figure 5: MDC-R2 3 B Out 6 Out 7 Out 7 Sel Sel 2 t t 2 t 3 Figure 7: Timing block diagram of Figure 6 Partial MuxMDC-R2 3 In In 4 In 6 w 3 w w 5 Partial MuxMDC-R2 2 Out Out 4 Out Out 5 In In 4 In 6 Partial MuxMDC-R2 2 j Out Out 4 Out Out 5 In In 5 In 7 Sel 2 w w 4,c w 2, j w 6,c Partial MuxMDC-R2 2 Out 6 Out 7 Figure 6: Proposed MuxMDC-R2 3 B based on the partial MuxMDC-R2 2 In In 5 In 7 Sel 2 Partial MuxMDC-R2 2 c Figure 8: Proposed Partial MuxMDC-R2 3 c Out 6 Out 7 reducedbymeansofthepartialmultiplexedradix2 2 and a feedback network yielding to the proposed MuxMDC-R2 3 B structure in Figure 6 The clock timing of Figure 5 is computed as >T CM +t pm +3T CA, Throughput = 8 (9), where t pm is the time required to execute one complex multiplication on a constant multiplier and the clock timing of the proposed MuxMDC-R2 3 is estimated as t >T CM +T CA, t 2 >T CA, t 3 =t, (2) >(t +t 2 +t 3 )>2T CM +3T CA, Throughput = 8 The overall timing block diagram of the proposed MuxMDC-R2 3 is sketched in Figure 7InFigure 6, the inputs are multiplied by the twiddle factors w i when S 2 =and by the constant factors j, c, c or for S 2 = Further block building of these modules could be achieved by combining two radix-8 butterflies with eight butterflies in order to obtain the conventional MDC- R2 4 B; therefore, for this case (r =8and α=2), (4)could be expressed as X (l) = W [ x (2m) w lm 7 m= 7 8 +w l 8 m= = W [X () +w l 6 X ()], x (2m+) w lm 8 ] (2) and the signal flow graph (SFG) of the proposed DIT 4 MuxMDC-R2 4 based on the partial MuxMDC-R2 3 (Figure 8) is illustrated in Figure 9

6 6 VLSI Design In In 8 In 4 In In 6 In 4 w 7 w 3 w,c Partial MuxMDC-R2 3 w w 9,c w 5 w 3,c 2 Out Out 8 Out Out 9 Out Out In In 9 In 5 In In 7 In 5 w w 8, j w 4 w 2,c 3 w 2 w,c 4 w 6 w 4,c 5 Partial MuxMDC-R2 3 Out 4 Out 5 Out 6 Out 4 Out 7 Out 5 Sel 3 Figure 9: Proposed MuxMDC-R2 4 B based on the Partial MuxMDC-R2 3 CLK In 5 Out 5 Sel t t 2 Sel 2 t 3 Sel 3 t 4 Figure : Timing block diagram of Figure 6 The clock timing of the conventional MDC-R2 4 B is computed as >T CM +2t pm +4T CA, Throughput = 6, (22) and the clock timing of the proposed MuxMDC-R2 4 estimated as t >T CM +T CA, t 2 >T CA, t 3 =t pm +T CA, is

7 VLSI Design 7 In In 4 In 8 w 3 w 7 w Radix-4 adder network Out Out 4 Out 8 In In 5 In 9 w w 4,c w 8,c w 2,c 2 Radix-4 adder network Out Out 5 Out 9 In 6 In In 4 w w 5,c w 9, j w 3,c 3 Radix-4 adder network Out 6 Out Out 4 In 7 In In 5 w 2 w 6,c 2 w,c 3 w 4, c Radix-4 adder network Out 7 Out Out 5 Sel Figure : The proposed DIT MuxMDC-R4 2 In Out In Out j Figure 2: Block circuit diagram of the radix-4 adder network x (,s) [] x (,s) [] x (,s) [r ] Radix-r B Switch Iteration i Radix-r B Switch Radix-r B Stage Stage 2 Stage S Figure 3: S stages radix-r pipelined FFT X (,s) [] X (,s) [] X (,s) [r ]

8 8 VLSI Design Resources needed in terms of complex multiplier log 4 () Resources needed in terms of complex multiplier R-2 4 [3, 4, 5, 6], R-2 3 [7] log 8 () R-2 2 [7] R-2 4 [3, 4, 5, 6], R-2 3 [7] R-2 4 [7], proposed MuxMDC-R2 2 Figure 4: Comparison between the different butterflies structures in terms of complex multiplier needed to compute the 4 parallel B pipelined FFTs of size Resources needed in terms of complex adder log 4 () R-2 3 [7], R-2 4 [7] R-2 4 [3, 4, 5, 6], R-2 3 [7] Proposed MuxMDC-R2 2 Figure 5: Comparison between the different butterflies structures in terms of complex adder needed to compute the 4 parallel B pipelined FFTs of size R-2 [8, 9], R-2 4 [2] R-2 2 [7] R-2 3 [7] R-2 4 [7] Proposed MuxMDC-R2 3 Figure 6: Comparison between the different butterflies structures in terms of complex multiplier needed to compute the 8 parallel B pipelined FFTs of size Resources needed in terms of complex adder log 8 () R-2 [8], R-2 2 [7], R-2 3 [7], R-2 3 [7] R-2 [9], R-2 4 [2] Proposed MuxMDC-R2 3 Figure 7: Comparison between the different butterflies structures in terms of complex adder needed to compute the 8 parallel B pipelined FFTs of size t 4 =t, >(t +t 2 +t 3 +t 4 )>2T CM +t pm +4T CA, Throughput = 6 (23) The overall timing block diagram of the proposed MuxMDC- R2 4 is sketched in Figure Withthesamereasoningasabove,wewillbelimitedin the elaboration of the proposed s radix-4 α family to the DIT FFT process For the radix-6 (r = 4 and α = 4), we can express (4)as X = W [ x (4m) w lm 3 m= +w 2l 3 6 m= x (4m+2) w lm 3 x (4m+) w lm 4 +w l 6 m= 4 +w 3l 3 6 m= 4 x (2m+) w lm = W [X () +w l 6 X () +w 2l 6 X (2) +w 3l 6 X (3)], 4 ] (24)

9 VLSI Design 9 Table : Resources needed to compute an FFT of size Butterfly structure Complex multiplier Complex adder Latency (cycles) T (Spc) 4 parallel B architectures R-2 4 [3], [4] 4(log 4 ) 6log 4 /4 4 R-2 4 [5] 4(log 4 ) 6log 4 /4 4 R-2 4 [6] 4(log 4 ) 6log 4 /4 4 R-2 2 [7] 3(log 4 ) 6log 4 /4 4 R-2 3 [7] 4(log 4 ) 8log 4 /4 4 R-2 4 [7] 35(log 4 4) 8log 4 /4 4 Proposed MuxMDC-R2 2 3(log 4 ) 4log 4 /4 4 8 parallel B architectures R-2 [8] 8(log 4 ) 6log 4 /8 8 R-2 [9] 8(log 4 ) 32log 4 /8 8 R-2 4 [2] 8(log 4 ) 32log 4 /8 8 R-2 2 [7] 6(log 4 ) 6log 4 /8 8 R-2 3 [7] 6log 4 7 6log 4 /8 8 R-2 4 [7] 7log 4 8 6log 4 /8 8 Proposed MuxMDC-R2 3 7(log 8 ) 8log 8 /8 8 6 parallel B architectures Proposed MuxMDC-R2 4 7(log 6 ) 6log 6 /6 6 Proposed MuxMDC-R4 2 5(log 6 ) 32log 6 /6 6 Table 2: Resources needed in terms of FA to compute an FFT of size Butterfly structure FA 4 parallel B architectures R-2 4 [3], [4] 2n 2 (log 4 )+2(plog 4 ) + 32plog 4 R-2 4 [5] 2n 2 (log 4 ) + 2p (log 4 ) + 32plog 4 R-2 4 [6] 2n 2 log 4 ( ) + 2plog 4 ( ) + 32plog 4 R-2 2 [7] 9n 2 (log 4 ) + 5p (log 4 ) + 32plog 4 R-2 3 [7] 2n 2 (log 4 ) + 2p (log 4 ) + 6plog 4 R-2 4 [7] 5n 2 (log 4 ) + 75p (log 4 ) + 6plog 4 Proposed MuxMDC-R2 2 9n 2 (log 4 ) + 5p (log 4 )+8plog 4 8 parallel B architectures R-2 [8] 24n 2 (log 4 ) + 4p (log 4 ) + 32plog 4 R-2 [9] 24n 2 (log 4 ) + 4p (log 4 ) + 64plog 4 R-2 4 [2] 24n 2 (log 4 ) + 4p (log 4 ) + 64plog 4 R-2 2 [7] 8n 2 (log 4 ) + 3p (log 4 ) + 32plog 4 R-2 3 [7] 8n 2 (log 4 )+3p(log 4 ) + 32plog 4 2n 2 35p R-2 4 [7] 2n 2 (log 4 )+35p(log 4 ) + 32plog 4 24n 2 4p Proposed MuxMDC-R2 3 2n 2 (log 8 ) + 35p (log 8 ) + 6plog 8 6 parallel B architectures Proposed MuxMDC-R2 4 5n 2 (log 6 ) + 85p (log 6 ) + 32plog 6 Proposed MuxMDC-R4 2 45n 2 (log 6 ) + 75p (log 6 ) + 64plog 6 and the proposed MDC-R4 2 in terms of radix-4 network is illustrated in Figure where the feedback network is for feeding the ith output of the jth radix-4 network to the jth input of the ith and the switches selectively pass the inputdataorthefeedback,alternately,tothecorresponding radix-4 The circuit block diagram of the radix-4 network is illustrated in Figure 2 5 Performance Evaluation FFTs are the most powerful algorithms that are used in communication systems such as OFDM Their implementation is very attractive in fixed point due to the reduction in cost compared to the floating point implementation One of the most powerful FFT implementations is the pipelined FFT

10 VLSI Design Resources needed in terms of complex adder log 6 () Proposed MuxMDC-R2 4 Proposed MuxMDC-R4 2 Figure 8: Comparison between the different butterflies structures in terms of complex adder needed to compute the 6 parallel B pipelined FFTs of size Resources needed in terms of complex multiplier log 6 () Proposed MuxMDC-R2 4 Proposed MuxMDC-R4 2 Resources needed in terms full adder R-2 4 [3, 4, 5, 6] R-2 2 [7] R-2 3 [7] log 4 () R-2 4 [7] Proposed MuxMDC-R2 2 Figure 2: Comparison between the different butterflies structures in terms of full adder needed to compute the 4 parallel pipelined FFTs of size (multiplier on 6 bits and adder on 32 bits) Resources needed in terms full adder log 8 () Figure 9: Comparison between the different butterflies structures in terms of complex multiplier needed to compute the 6 parallel B pipelined FFTs of size R-2 [8] R-2 [9], R-2 4 [2] R-2 2 [7] R-2 3 [7] R-2 4 [7] Proposed MuxMDC-R2 3 a r a i P r Figure 22: Comparison between the different butterflies structures in terms of full adder needed to compute the 8 parallel pipelined FFTs of size (multiplier on 6 bits and adder on 32 bits) b r b i Figure 2: Complex multiplier using three real multipliers and five real adders e P i which is highly implemented in the communication systems; see Figure 3 Sincetheobjectiveofthispaperismainlyconcentratedon the higher radices butterflies structures, in our performance studywewillbelimitedtotheimpactofthestructureoncethepipelineisfilled,thebutterflieswillproducer output each clock cycle (throughput T in samples per cycle (Spc)) Therefore, Table will draw the comparison between

11 VLSI Design X() X(8) X(6) X(24) X(32) X(4) X(48) X(56) X() X(8) X(6) X(24) X(32) X(4) X(48) X(56) X() X(9) X(7) X(25) X(33) X(4) X(49) X(57) X() X(9) X(7) X(25) X(33) X(4) X(49) X(57) X(2) X() X(8) X(26) X(34) X(42) X(5) X(58) X(2) X() X(8) X(26) X(34) X(42) X(5) X(58) X(3) X() X(9) X(27) X(35) X(43) X(5) X(59) X(3) X() X(9) X(27) X(35) X(43) X(5) X(59) X(4) X(2) X(2) X(28) X(36) X(44) X(52) X(6) X(4) X(2) X(2) X(28) X(36) X(44) X(52) X(6) X(5) X(3) X(2) X(29) X(37) X(45) X(53) X(6) X(5) X(3) X(2) X(29) X(37) X(45) X(53) X(6) X(6) X(4) X(22) X(3) X(38) X(46) X(54) X(62) X(6) X(4) X(22) X(3) X(38) X(46) X(54) X(62) X(7) X(5) X(23) X(3) X(39) X(47) X(55) X(63) X(7) X(5) X(23) X(3) X(39) X(47) X(55) X(63) Figure 23: Two stage pipelined FFT (or array structure) with a feedback network [23] the different butterflies structures in terms of resources needed to compute an FFT of size As shown in Figure 4, wecouldclearlyseethatthe proposed MuxMDC-R2 2 for the four parallel pipelined FFTs of size will have the same amount of complex multiplier compared to the radix 2 4 cited in [3] Furthermore, our proposed MuxMDC-R2 2 achieves a reduction in the usage of complex multiplier by a factor that ranges between and 4 compared to the other cited butterflies Forthe4parallelpipelinedFFTsofsize,thereduction in the usage of complex adder for our proposed method MuxMDC-R2 2 ranges between 9 and 39 compared to the cited butterflies as shown in Figure 5 For the 8 parallel pipelined FFTs of size,thereduction factor in the usage of complex multiplier for our proposed MuxMDC-R2 3 could range from 3 to 2 compared to the cited butterflies as illustrated in Figure 6 For the same structure, the reduction factor in the usage of complex adder for our proposed method MuxMDC-R2 3 could range from 3 to 54 compared to the cited butterflies (Figure 7) It seems that the proposed MuxMDC-R2 4 uses less complex adders than the proposed MuxMDC-R4 2 as shown in Figure 8 where the proposed MuxMDC-R2 4 achieves a reduction in the usage of complex adder by a factor of 2 but the proposed MuxMDC-R4 2 achieves a reduction in the usage of complex multiplier by a factor of as shown in Figure 9 Since one complex multiplication is counted as 3 real multiplications and 5 real additions as shown in Figure 2,

12 2 VLSI Design Table 2 will illustrate the required resources in terms of full adder (FA) that will be computed as (a) n 2 for two n-digit real multiplier and (b) p for two p-digit real adder For the four parallel pipelined FFTs of size,itseemsthat the R-2 2 citedin[3] willhaveapproximatelythe sameamountoffaastheproposedmuxmdc-r2 2 according to Figure 2 Our proposed MuxMDC-R2 2 will achieve a reduction in the usage of FA by a factor that ranges between 7 and 34 (Figure 2) With regard to the eight parallel pipelined FFTs of size, it seems that the proposed MuxMDC-R2 3 will achieve a reduction in the usage of FA by a factor that ranges between 4 and 9 in comparison to the other cited butterflies as shown in Figure 22 Since the implementation of higher radices by means of the α /4 β is feasible, the optimal pipelined FFT is achieved by the two stage FFT as shown in Figure 23 where the use of complex memories between the different stages is completely eliminated and the delay required to fill up the pipeline is totally absent 6 Conclusion It has been shown that the higher radix FFT algorithms are advantageous for the hardware implementation, due to the reduced quantity of complex multiplications and memory access rate requirements This paper has presented an efficient way of implementing the higher radices butterflies by means of the α /4 β kernel where serial parallel models have been represented The proposed optimized different structures with a scheduling scheme of complex multiplications are suitable for embedded FFT processors Furthermore, it has been proven that the higher radices butterflies could be obtained by reusing the block circuit diagram of the α /4 β Based on this concept, the hardware resources needed could be reduced which is highly desirable for low power consumption FFT processors The proposed method is suitable for large pipelined FFTs implementation wheretheperformancegainwillincreasewithanincreasing FFTs radix size This structure is also appropriate for SIMD implementation on some of the latest DSP cards Conflict of Interests The authors declare that there is no conflict of interests regarding the publication of this paper Acknowledgments The authors would like to thank the financial support from theaturalsciencesandengineeringresearchcouncilof Canada and from JABERTECH s Shareholders Trevor Hill from Alberta and Bassam Kabbara from Kuwait References [] WCooleyandJWTukey, Analgorithmforthemachinecalculation of complex Fourier series, Mathematics of Computation, vol 9, pp 297 3, 965 [2] P Duhamel and H Hollmann, Split radix FFT algorithm, Electronics Letters,vol2,no,pp4 6,984 [3] S Winograd, On computing the discrete Fourier transform, Proceedings of the ational Academy of Sciences of the United States of America,vol73,no4,pp5 6,976 [4] T Widhe, Efficient Implementation of FFT Processing Elements, Linkoping Studies in Science and Technology no 69, Linkoping University, Linköping, Sweden, 997 [5] T Widhe, J Melander, and L Wanhammar, Design of efficient radix-8 s for VLSI, in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS 97), pp , June 997 [6] J Melander, T Widhe, K Palmkvist, M Vesterbacka, and L Wanhammar, An FFT processor based on the SIC architecture with asynchronous, in Proceedings of the IEEE 39th Midwest Symposium on Circuits and Systems, vol 3, pp 33 36, Ames, Iowa, USA, August 996 [7] M Jaber and D Massicotte, The self-sorting JMFFT algorithm eliminating trivial multiplication and suitable for embedded DSP processor, in Proceedings of the th IEEE International EWAS Conference,Montreal,Canada,June22 [8] M Jaber, Butterfly processing element for efficient fast Fourier transform method and apparatus, US Patent o 6, 75, 643, 24 [9] M A Jaber and D Massicotte, A new FFT concept for efficient VLSI implementation: part I processing element, in 6th International Conference on Digital Signal Processing (DSP 9), pp 6, Santorini, Greece, July 29 [] Y Wang, Y Tang, Y Jiang, J Chung, S Song, and M Lim, ovel memory reference reduction methods for FFT implementations on DSP processors, IEEE Transactions on Signal Processing, vol 55,no5,pp ,27 [] S He and M Torkelson, Design and implementation of a 24-point pipeline FFT processor, in Proceedings of the IEEE Custom Integrated Circuits Conference, pp 3 34, May 998 [2] S He and M Torkelson, ew approach to pipeline FFT processor, in Proceedings of the th International Parallel Processing Symposium (IPPS 96), pp , April 996 [3] E E Swartzlander, W K W Young, and S J Joseph, A radix 4 delay commutator for fast Fourier transform processor implementation, IEEE Journal of Solid-State Circuits, vol 9, no 5,pp72 79,984 [4] J H McClellan and R J Purdy, Applications of Digital Signal Processing, Applications of Digital Signal Processing to Radar, chapter 5, Prentice Hall, ew York, Y, USA, 978 [5] H Liu and H Lee, A high performance four-parallel 28/64- point 4 FFT/IFFT processor for MIMO-OFDM systems, in Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems (APCCAS 8), pp , Macao, China, December 28 [6] S-I Cho, K-M Kong, and S-S Choi, Implementation of 28- point fast fourier transform processor for UWB systems, in Proceedings of the International Wireless Communications and Mobile Computing Conference (IWCMC 8), pp 2 23, Crete Island, Greece, August 28 [7] M Garrido, J Grajal, M A Sanchez, and O Gustafsson, Pipelined k feedforward FFT architectures, IEEE Transactions on Very Large Scale Integration (VLSI) Systems,vol 2,no,pp23 32,23 [8] J A Johnston, Parallel pipeline fast Fourier transformer, IEE Proceedings F: Communications, Radar and Signal Processing, vol 3, no 6, pp , 983

13 VLSI Design 3 [9] E H Wold and A M Despain, Pipeline and parallel-pipeline FFT processors for VLSI implementations, IEEE Transactions on Computers, vol 33, no 5, pp , 984 [2] S- Tang, J-W Tsai, and T-Y Chang, A 24-GS/s FFT processor for OFDM-based WPA applications, IEEE Transactions on Circuits and Systems II: Express Briefs,vol57,no6,pp45 455, 2 [2] M Jaber, Parallel multiprocessing for the fast Fourier transformwithpipelinearchitecture, USPatento6,792,44 [22] M A Jaber and D Massicotte, A new FFT concept for efficient VLSI implementation: part II parallel pipelined processing, in Proceedings of the 6th International Conference on Digital Signal Processing (DSP 29), pp 5,Santorini,Greece,July 29 [23] M Jaber, Fourier transform processor, US Patent o 7, 76, 495 [24] M Jaber, Address generator for the fast Fourier transform processor, US-6, 993, and European Patent Application Serial no: PCT/USOI /762 [25] E J Kim and M H Sunwoo, High speed eight-parallel mixedradix FFT processor for OFDM systems, in Proceedings of the IEEE International Symposium of Circuits and Systems (ISCAS ), pp , Rio de Janeiro, Brazil, May 2 [26] P Li and W Dong, Computation oriented parallel FFT algorithms on distributed computer, in Proceedings of the 3rd International Symposium on Parallel Architectures, Algorithms and Programming (PAAP ), pp , Dalian, China, December 2 [27] D Takahashi, A Uno, and M Yokokawa, An implementation of parallel -D FFT on the K computer, in Proceedings of the IEEE International Conference on High Performance Computing and Communication, pp , Liverpool, UK, June 22 [28] R M Piedra, Parallel -D FFT implementation with TMS32C4x DSPs, Texas Instruments SPRA8, Digital Signal Processing Semiconductor Group, 994 [29] [3] V Petrov, MKL FFT performance comparison of local and distributed-memory implementations, Intel Report, 22, [3] V I Kelefouras, G S Athanasiou, Alachiotis, H E Michail, A S Kritikakou, and C E Goutis, A methodology for speeding up fast fourier transform focusing on memory architecture utilization, IEEE Transactions on Signal Processing, vol59,no 2, pp , 2

14 International Journal of Rotating Machinery Engineering Journal of Volume 24 The Scientific World Journal Volume 24 International Journal of Distributed Sensor etworks Journal of Sensors Volume 24 Volume 24 Volume 24 Journal of Control Science and Engineering Advances in Civil Engineering Volume 24 Volume 24 Submit your manuscripts at Journal of Journal of Electrical and Computer Engineering Robotics Volume 24 Volume 24 VLSI Design Advances in OptoElectronics International Journal of avigation and Observation Volume 24 Chemical Engineering Volume 24 Volume 24 Active and Passive Electronic Components Antennas and Propagation Aerospace Engineering Volume 24 Volume 24 Volume 24 International Journal of International Journal of International Journal of Modelling & Simulation in Engineering Volume 24 Volume 24 Shock and Vibration Volume 24 Advances in Acoustics and Vibration Volume 24

AN FFT PROCESSOR BASED ON 16-POINT MODULE

AN FFT PROCESSOR BASED ON 16-POINT MODULE AN FFT PROCESSOR BASED ON 6-POINT MODULE Weidong Li, Mark Vesterbacka and Lars Wanhammar Electronics Systems, Dept. of EE., Linköping University SE-58 8 LINKÖPING, SWEDEN E-mail: {weidongl, markv, larsw}@isy.liu.se,

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

Keywords: Fast Fourier Transforms (FFT), Multipath Delay Commutator (MDC), Pipelined Architecture, Radix-2 k, VLSI.

Keywords: Fast Fourier Transforms (FFT), Multipath Delay Commutator (MDC), Pipelined Architecture, Radix-2 k, VLSI. ww.semargroup.org www.ijvdcs.org ISSN 2322-0929 Vol.02, Issue.05, August-2014, Pages:0294-0298 Radix-2 k Feed Forward FFT Architectures K.KIRAN KUMAR 1, M.MADHU BABU 2 1 PG Scholar, Dept of VLSI & ES,

More information

MULTIPLIERLESS HIGH PERFORMANCE FFT COMPUTATION

MULTIPLIERLESS HIGH PERFORMANCE FFT COMPUTATION MULTIPLIERLESS HIGH PERFORMANCE FFT COMPUTATION Maheshwari.U 1, Josephine Sugan Priya. 2, 1 PG Student, Dept Of Communication Systems Engg, Idhaya Engg. College For Women, 2 Asst Prof, Dept Of Communication

More information

Research Article Regressive Structures for Computation of DST-II and Its Inverse

Research Article Regressive Structures for Computation of DST-II and Its Inverse International Scholarly Research etwork ISR Electronics Volume 01 Article ID 537469 4 pages doi:10.540/01/537469 Research Article Regressive Structures for Computation of DST-II and Its Inverse Priyanka

More information

Efficient Methods for FFT calculations Using Memory Reduction Techniques.

Efficient Methods for FFT calculations Using Memory Reduction Techniques. Efficient Methods for FFT calculations Using Memory Reduction Techniques. N. Kalaiarasi Assistant professor SRM University Kattankulathur, chennai A.Rathinam Assistant professor SRM University Kattankulathur,chennai

More information

Linköping University Post Print. Analysis of Twiddle Factor Memory Complexity of Radix-2^i Pipelined FFTs

Linköping University Post Print. Analysis of Twiddle Factor Memory Complexity of Radix-2^i Pipelined FFTs Linköping University Post Print Analysis of Twiddle Factor Complexity of Radix-2^i Pipelined FFTs Fahad Qureshi and Oscar Gustafsson N.B.: When citing this work, cite the original article. 200 IEEE. Personal

More information

DESIGN OF PARALLEL PIPELINED FEED FORWARD ARCHITECTURE FOR ZERO FREQUENCY & MINIMUM COMPUTATION (ZMC) ALGORITHM OF FFT

DESIGN OF PARALLEL PIPELINED FEED FORWARD ARCHITECTURE FOR ZERO FREQUENCY & MINIMUM COMPUTATION (ZMC) ALGORITHM OF FFT IMPACT: International Journal of Research in Engineering & Technology (IMPACT: IJRET) ISSN(E): 2321-8843; ISSN(P): 2347-4599 Vol. 2, Issue 4, Apr 2014, 199-206 Impact Journals DESIGN OF PARALLEL PIPELINED

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1119-1123 www.ijvdcs.org High Speed and Area Efficient Radix-2 2 Feed Forward FFT Architecture ARRA ASHOK 1, S.N.CHANDRASHEKHAR 2 1 PG Scholar, Dept

More information

Efficient Radix-4 and Radix-8 Butterfly Elements

Efficient Radix-4 and Radix-8 Butterfly Elements Efficient Radix4 and Radix8 Butterfly Elements Weidong Li and Lars Wanhammar Electronics Systems, Department of Electrical Engineering Linköping University, SE581 83 Linköping, Sweden Tel.: +46 13 28 {1721,

More information

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO 2402 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 6, JUNE 2016 A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO Antony Xavier Glittas,

More information

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications Research Journal of Applied Sciences, Engineering and Technology 7(23): 5021-5025, 2014 DOI:10.19026/rjaset.7.895 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Feedforward FFT Hardware Architectures Based on Rotator Allocation

Feedforward FFT Hardware Architectures Based on Rotator Allocation Feedforward FFT Hardware Architectures Based on Rotator Allocation Mario Garrido Gálvez, Shen-Jui Huang and Sau-Gee Chen The self-archived postprint version of this journal article is available at Linköping

More information

TOPICS PIPELINE IMPLEMENTATIONS OF THE FAST FOURIER TRANSFORM (FFT) DISCRETE FOURIER TRANSFORM (DFT) INVERSE DFT (IDFT) Consulted work:

TOPICS PIPELINE IMPLEMENTATIONS OF THE FAST FOURIER TRANSFORM (FFT) DISCRETE FOURIER TRANSFORM (DFT) INVERSE DFT (IDFT) Consulted work: 1 PIPELINE IMPLEMENTATIONS OF THE FAST FOURIER TRANSFORM (FFT) Consulted work: Chiueh, T.D. and P.Y. Tsai, OFDM Baseband Receiver Design for Wireless Communications, John Wiley and Sons Asia, (2007). Second

More information

ON CONFIGURATION OF RESIDUE SCALING PROCESS IN PIPELINED RADIX-4 MQRNS FFT PROCESSOR

ON CONFIGURATION OF RESIDUE SCALING PROCESS IN PIPELINED RADIX-4 MQRNS FFT PROCESSOR POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 80 Electrical Engineering 2014 Robert SMYK* Maciej CZYŻAK* ON CONFIGURATION OF RESIDUE SCALING PROCESS IN PIPELINED RADIX-4 MQRNS FFT PROCESSOR Residue

More information

High Throughput Energy Efficient Parallel FFT Architecture on FPGAs

High Throughput Energy Efficient Parallel FFT Architecture on FPGAs High Throughput Energy Efficient Parallel FFT Architecture on FPGAs Ren Chen Ming Hsieh Department of Electrical Engineering University of Southern California Los Angeles, USA 989 Email: renchen@usc.edu

More information

An efficient multiplierless approximation of the fast Fourier transform using sum-of-powers-of-two (SOPOT) coefficients

An efficient multiplierless approximation of the fast Fourier transform using sum-of-powers-of-two (SOPOT) coefficients Title An efficient multiplierless approximation of the fast Fourier transm using sum-of-powers-of-two (SOPOT) coefficients Author(s) Chan, SC; Yiu, PM Citation Ieee Signal Processing Letters, 2002, v.

More information

Twiddle Factor Transformation for Pipelined FFT Processing

Twiddle Factor Transformation for Pipelined FFT Processing Twiddle Factor Transformation for Pipelined FFT Processing In-Cheol Park, WonHee Son, and Ji-Hoon Kim School of EECS, Korea Advanced Institute of Science and Technology, Daejeon, Korea icpark@ee.kaist.ac.kr,

More information

Novel design of multiplier-less FFT processors

Novel design of multiplier-less FFT processors Signal Processing 8 (00) 140 140 www.elsevier.com/locate/sigpro Novel design of multiplier-less FFT processors Yuan Zhou, J.M. Noras, S.J. Shepherd School of EDT, University of Bradford, Bradford, West

More information

DESIGN METHODOLOGY. 5.1 General

DESIGN METHODOLOGY. 5.1 General 87 5 FFT DESIGN METHODOLOGY 5.1 General The fast Fourier transform is used to deliver a fast approach for the processing of data in the wireless transmission. The Fast Fourier Transform is one of the methods

More information

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope G. Mohana Durga 1, D.V.R. Mohan 2 1 M.Tech Student, 2 Professor, Department of ECE, SRKR Engineering College, Bhimavaram, Andhra

More information

Research Article International Journal of Emerging Research in Management &Technology ISSN: (Volume-6, Issue-8) Abstract:

Research Article International Journal of Emerging Research in Management &Technology ISSN: (Volume-6, Issue-8) Abstract: International Journal of Emerging Research in Management &Technology Research Article August 27 Design and Implementation of Fast Fourier Transform (FFT) using VHDL Code Akarshika Singhal, Anjana Goen,

More information

Research Article MFT-MAC: A Duty-Cycle MAC Protocol Using Multiframe Transmission for Wireless Sensor Networks

Research Article MFT-MAC: A Duty-Cycle MAC Protocol Using Multiframe Transmission for Wireless Sensor Networks Distributed Sensor Networks Volume 2013, Article ID 858765, 6 pages http://dx.doi.org/10.1155/2013/858765 Research Article MFT-MAC: A Duty-Cycle MAC Protocol Using Multiframe Transmission for Wireless

More information

A 4096-Point Radix-4 Memory-Based FFT Using DSP Slices

A 4096-Point Radix-4 Memory-Based FFT Using DSP Slices A 4096-Point Radix-4 Memory-Based FFT Using DSP Slices Mario Garrido Gálvez, Miguel Angel Sanchez, Maria Luisa Lopez-Vallejo and Jesus Grajal Journal Article N.B.: When citing this work, cite the original

More information

An Efficient High Speed VLSI Architecture Based 16-Point Adaptive Split Radix-2 FFT Architecture

An Efficient High Speed VLSI Architecture Based 16-Point Adaptive Split Radix-2 FFT Architecture IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient High Speed VLSI Architecture Based 16-Point Adaptive Split Radix-2 FFT

More information

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm 1 A.Malashri, 2 C.Paramasivam 1 PG Student, Department of Electronics and Communication K S Rangasamy College Of Technology,

More information

A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment

A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment Ting Chen a), Hengzhu Liu, and Botao Zhang College of

More information

VLSI IMPLEMENTATION AND PERFORMANCE ANALYSIS OF EFFICIENT MIXED-RADIX 8-2 FFT ALGORITHM WITH BIT REVERSAL FOR THE OUTPUT SEQUENCES.

VLSI IMPLEMENTATION AND PERFORMANCE ANALYSIS OF EFFICIENT MIXED-RADIX 8-2 FFT ALGORITHM WITH BIT REVERSAL FOR THE OUTPUT SEQUENCES. VLSI IMPLEMENTATION AND PERFORMANCE ANALYSIS OF EFFICIENT MIXED-RADIX 8-2 ALGORITHM WITH BIT REVERSAL FOR THE OUTPUT SEQUENCES. M. MOHAMED ISMAIL Dr. M.J.S RANGACHAR Dr.Ch. D. V. PARADESI RAO (Research

More information

FFT. There are many ways to decompose an FFT [Rabiner and Gold] The simplest ones are radix-2 Computation made up of radix-2 butterflies X = A + BW

FFT. There are many ways to decompose an FFT [Rabiner and Gold] The simplest ones are radix-2 Computation made up of radix-2 butterflies X = A + BW FFT There are many ways to decompose an FFT [Rabiner and Gold] The simplest ones are radix-2 Computation made up of radix-2 butterflies A X = A + BW B Y = A BW B. Baas 442 FFT Dataflow Diagram Dataflow

More information

Abstract. Literature Survey. Introduction. A.Radix-2/8 FFT algorithm for length qx2 m DFTs

Abstract. Literature Survey. Introduction. A.Radix-2/8 FFT algorithm for length qx2 m DFTs Implementation of Split Radix algorithm for length 6 m DFT using VLSI J.Nancy, PG Scholar,PSNA College of Engineering and Technology; S.Bharath,Assistant Professor,PSNA College of Engineering and Technology;J.Wilson,Assistant

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

LOW-POWER SPLIT-RADIX FFT PROCESSORS

LOW-POWER SPLIT-RADIX FFT PROCESSORS LOW-POWER SPLIT-RADIX FFT PROCESSORS Avinash 1, Manjunath Managuli 2, Suresh Babu D 3 ABSTRACT To design a split radix fast Fourier transform is an ideal person for the implementing of a low-power FFT

More information

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Yojana Jadhav 1, A.P. Hatkar 2 PG Student [VLSI & Embedded system], Dept. of ECE, S.V.I.T Engineering College, Chincholi,

More information

Low Power VLSI Implementation of the DCT on Single

Low Power VLSI Implementation of the DCT on Single VLSI DESIGN 2000, Vol. 11, No. 4, pp. 397-403 Reprints available directly from the publisher Photocopying permitted by license only (C) 2000 OPA (Overseas Publishers Association) N.V. Published by license

More information

Research Article Cross Beam STAP for Nonstationary Clutter Suppression in Airborne Radar

Research Article Cross Beam STAP for Nonstationary Clutter Suppression in Airborne Radar Antennas and Propagation Volume 213, Article ID 27631, 5 pages http://dx.doi.org/1.1155/213/27631 Research Article Cross Beam STAP for Nonstationary Clutter Suppression in Airborne Radar Yongliang Wang,

More information

Fast Fourier Transform Architectures: A Survey and State of the Art

Fast Fourier Transform Architectures: A Survey and State of the Art Fast Fourier Transform Architectures: A Survey and State of the Art 1 Anwar Bhasha Pattan, 2 Dr. M. Madhavi Latha 1 Research Scholar, Dept. of ECE, JNTUH, Hyderabad, India 2 Professor, Dept. of ECE, JNTUH,

More information

Research Article Cooperative Signaling with Soft Information Combining

Research Article Cooperative Signaling with Soft Information Combining Electrical and Computer Engineering Volume 2010, Article ID 530190, 5 pages doi:10.1155/2010/530190 Research Article Cooperative Signaling with Soft Information Combining Rui Lin, Philippa A. Martin, and

More information

Fixed Point Streaming Fft Processor For Ofdm

Fixed Point Streaming Fft Processor For Ofdm Fixed Point Streaming Fft Processor For Ofdm Sudhir Kumar Sa Rashmi Panda Aradhana Raju Abstract Fast Fourier Transform (FFT) processors are today one of the most important blocks in communication systems.

More information

FAST FOURIER TRANSFORM (FFT) and inverse fast

FAST FOURIER TRANSFORM (FFT) and inverse fast IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 11, NOVEMBER 2004 2005 A Dynamic Scaling FFT Processor for DVB-T Applications Yu-Wei Lin, Hsuan-Yu Liu, and Chen-Yi Lee Abstract This paper presents an

More information

Multiplierless Unity-Gain SDF FFTs

Multiplierless Unity-Gain SDF FFTs Multiplierless Unity-Gain SDF FFTs Mario Garrido Gálvez, Rikard Andersson, Fahad Qureshi and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 216 IEEE. Personal

More information

IMPLEMENTATION OF FAST FOURIER TRANSFORM USING VERILOG HDL

IMPLEMENTATION OF FAST FOURIER TRANSFORM USING VERILOG HDL IMPLEMENTATION OF FAST FOURIER TRANSFORM USING VERILOG HDL 1 ANUP TIWARI, 2 SAMIR KUMAR PANDEY 1 Department of ECE, Jharkhand Rai University,Ranchi, Jharkhand, India 2 Department of Mathematical Sciences,

More information

Research Article A Novel Metaheuristic for Travelling Salesman Problem

Research Article A Novel Metaheuristic for Travelling Salesman Problem Industrial Volume 2013, Article ID 347825, 5 pages http://dx.doi.org/10.1155/2013/347825 Research Article A Novel Metaheuristic for Travelling Salesman Problem Vahid Zharfi and Abolfazl Mirzazadeh Industrial

More information

An Area Efficient Mixed Decimation MDF Architecture for Radix. Parallel FFT

An Area Efficient Mixed Decimation MDF Architecture for Radix. Parallel FFT An Area Efficient Mixed Decimation MDF Architecture for Radix Parallel FFT Reshma K J 1, Prof. Ebin M Manuel 2 1M-Tech, Dept. of ECE Engineering, Government Engineering College, Idukki, Kerala, India 2Professor,

More information

Efficient FFT Algorithm and Programming Tricks

Efficient FFT Algorithm and Programming Tricks Connexions module: m12021 1 Efficient FFT Algorithm and Programming Tricks Douglas L. Jones This work is produced by The Connexions Project and licensed under the Creative Commons Attribution License Abstract

More information

DESIGN & SIMULATION PARALLEL PIPELINED RADIX -2^2 FFT ARCHITECTURE FOR REAL VALUED SIGNALS

DESIGN & SIMULATION PARALLEL PIPELINED RADIX -2^2 FFT ARCHITECTURE FOR REAL VALUED SIGNALS DESIGN & SIMULATION PARALLEL PIPELINED RADIX -2^2 FFT ARCHITECTURE FOR REAL VALUED SIGNALS Madhavi S.Kapale #1, Prof.Nilesh P. Bodne #2 1 Student Mtech Electronics Engineering (Communication) 2 Assistant

More information

Parallel-computing approach for FFT implementation on digital signal processor (DSP)

Parallel-computing approach for FFT implementation on digital signal processor (DSP) Parallel-computing approach for FFT implementation on digital signal processor (DSP) Yi-Pin Hsu and Shin-Yu Lin Abstract An efficient parallel form in digital signal processor can improve the algorithm

More information

ISSN: [Kavitha* et al., (6): 3 March-2017] Impact Factor: 4.116

ISSN: [Kavitha* et al., (6): 3 March-2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY REVIEW PAPER ON EFFICIENT VLSI AND FAST FOURIER TRANSFORM ARCHITECTURES Kavitha MV, S.Ranjitha, Dr Suresh H N *Research scholar,

More information

Reconfigurable FFT Processor A Broader Perspective Survey

Reconfigurable FFT Processor A Broader Perspective Survey Reconfigurable FFT Processor A Broader Perspective Survey V.Sarada 1, T.Vigneswaran 2 1 ECE, SRM University, Chennai, India. saradasaran@gmail.com 2 ECE, VIT University, Chennai, India. vigneshvlsi@gmail.com

More information

Research Article Implementation of Personal Health Device Communication Protocol Applying ISO/IEEE

Research Article Implementation of Personal Health Device Communication Protocol Applying ISO/IEEE Distributed Sensor Networks, Article ID 291295, 4 pages http://dx.doi.org/10.1155/2014/291295 Research Article Implementation of Personal Health Device Communication Protocol Applying ISO/IEEE 11073-20601

More information

Low-Power Split-Radix FFT Processors Using Radix-2 Butterfly Units

Low-Power Split-Radix FFT Processors Using Radix-2 Butterfly Units Low-Power Split-Radix FFT Processors Using Radix-2 Butterfly Units Abstract: Split-radix fast Fourier transform (SRFFT) is an ideal candidate for the implementation of a lowpower FFT processor, because

More information

Low Power Complex Multiplier based FFT Processor

Low Power Complex Multiplier based FFT Processor Low Power Complex Multiplier based FFT Processor V.Sarada, Dr.T.Vigneswaran 2 ECE, SRM University, Chennai,India saradasaran@gmail.com 2 ECE, VIT University, Chennai,India vigneshvlsi@gmail.com Abstract-

More information

FAST Fourier transform (FFT) is an important signal processing

FAST Fourier transform (FFT) is an important signal processing IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 54, NO. 4, APRIL 2007 889 Balanced Binary-Tree Decomposition for Area-Efficient Pipelined FFT Processing Hyun-Yong Lee, Student Member,

More information

Computing the Discrete Fourier Transform on FPGA Based Systolic Arrays

Computing the Discrete Fourier Transform on FPGA Based Systolic Arrays Computing the Discrete Fourier Transform on FPGA Based Systolic Arrays Chris Dick School of Electronic Engineering La Trobe University Melbourne 3083, Australia Abstract Reconfigurable logic arrays allow

More information

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS K. UMAPATHY, Research scholar, Department of ECE, Jawaharlal Nehru Technological University, Anantapur,

More information

SFF The Single-Stream FPGA-Optimized Feedforward FFT Hardware Architecture

SFF The Single-Stream FPGA-Optimized Feedforward FFT Hardware Architecture Journal of Signal Processing Systems (2018) 90:1583 1592 https://doi.org/10.1007/s11265-018-1370-y SFF The Single-Stream FPGA-Optimized Feedforward FFT Hardware Architecture Carl Ingemarsson 1 Oscar Gustafsson

More information

Fused Floating Point Arithmetic Unit for Radix 2 FFT Implementation

Fused Floating Point Arithmetic Unit for Radix 2 FFT Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 2, Ver. I (Mar. -Apr. 2016), PP 58-65 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Fused Floating Point Arithmetic

More information

Latest Innovation For FFT implementation using RCBNS

Latest Innovation For FFT implementation using RCBNS Latest Innovation For FFT implementation using SADAF SAEED, USMAN ALI, SHAHID A. KHAN Department of Electrical Engineering COMSATS Institute of Information Technology, Abbottabad (Pakistan) Abstract: -

More information

FPGA Based Design and Simulation of 32- Point FFT Through Radix-2 DIT Algorith

FPGA Based Design and Simulation of 32- Point FFT Through Radix-2 DIT Algorith FPGA Based Design and Simulation of 32- Point FFT Through Radix-2 DIT Algorith Sudhanshu Mohan Khare M.Tech (perusing), Dept. of ECE Laxmi Naraian College of Technology, Bhopal, India M. Zahid Alam Associate

More information

THE orthogonal frequency-division multiplex (OFDM)

THE orthogonal frequency-division multiplex (OFDM) 26 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 1, JANUARY 2010 A Generalized Mixed-Radix Algorithm for Memory-Based FFT Processors Chen-Fong Hsiao, Yuan Chen, Member, IEEE,

More information

International Journal of Multidisciplinary Research and Modern Education (IJMRME) ISSN (Online): ( Volume I, Issue

International Journal of Multidisciplinary Research and Modern Education (IJMRME) ISSN (Online): (  Volume I, Issue MDF ARCHITECTURE DESIGN FOR RADIX 2K FFT D. Sathyakala* & S. Nithya** * Assistant Professor, Department of ECE, Dhanalakshmi Srinivasan Engineering College, Perambalur, Tamilnadu ** Assistant Professor,

More information

A Genetic Algorithm for the Optimisation of a Reconfigurable Pipelined FFT Processor

A Genetic Algorithm for the Optimisation of a Reconfigurable Pipelined FFT Processor A Genetic Algorithm for the Optimisation of a Reconfigurable Pipelined FFT Processor Nasri Sulaiman and Tughrul Arslan Department of Electronics and Electrical Engineering The University of Edinburgh Scotland

More information

AREA-DELAY EFFICIENT FFT ARCHITECTURE USING PARALLEL PROCESSING AND NEW MEMORY SHARING TECHNIQUE

AREA-DELAY EFFICIENT FFT ARCHITECTURE USING PARALLEL PROCESSING AND NEW MEMORY SHARING TECHNIQUE AREA-DELAY EFFICIENT FFT ARCHITECTURE USING PARALLEL PROCESSING AND NEW MEMORY SHARING TECHNIQUE Yousri Ouerhani, Maher Jridi, Ayman Alfalou To cite this version: Yousri Ouerhani, Maher Jridi, Ayman Alfalou.

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 401 Digital Signal Processing Prof. Mark Fowler Note Set #26 FFT Algorithm: Divide & Conquer Viewpoint Reading: Sect. 8.1.2 & 8.1.3 of Proakis & Manolakis Divide & Conquer Approach The previous note

More information

World s Fastest FFT Architectures: Breaking the Barrier of 100 GS/s

World s Fastest FFT Architectures: Breaking the Barrier of 100 GS/s World s Fastest FFT Architectures: Breaking the Barrier of 100 GS/s Mario Garrido, K. Möller and M. Kumm The self-archived postprint version of this journal article is available at Linköping University

More information

Modified Welch Power Spectral Density Computation with Fast Fourier Transform

Modified Welch Power Spectral Density Computation with Fast Fourier Transform Modified Welch Power Spectral Density Computation with Fast Fourier Transform Sreelekha S 1, Sabi S 2 1 Department of Electronics and Communication, Sree Budha College of Engineering, Kerala, India 2 Professor,

More information

A Pipelined Fused Processing Unit for DSP Applications

A Pipelined Fused Processing Unit for DSP Applications A Pipelined Fused Processing Unit for DSP Applications Vinay Reddy N PG student Dept of ECE, PSG College of Technology, Coimbatore, Abstract Hema Chitra S Assistant professor Dept of ECE, PSG College of

More information

Variable Length Floating Point FFT Processor Using Radix-2 2 Butterfly Elements P.Augusta Sophy

Variable Length Floating Point FFT Processor Using Radix-2 2 Butterfly Elements P.Augusta Sophy Variable Length Floating Point FFT Processor Using Radix- Butterfly Elements P.Augusta Sophy #, R.Srinivasan *, J.Raja $3, S.Anand Ganesh #4 # School of Electronics, VIT University, Chennai, India * Department

More information

Reconfigurable Fast Fourier Transform Architecture for Orthogonal Frequency Division Multiplexing Systems

Reconfigurable Fast Fourier Transform Architecture for Orthogonal Frequency Division Multiplexing Systems Reconfigurable Fast Fourier Transform Architecture for Orthogonal Frequency Division Multiplexing Systems Konstantinos E. MAOLOPOULOS, Konstantinos G. AKOS, Dionysios I. REISIS and iolaos G. VLASSOPOULOS

More information

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT Design of Delay Efficient Arithmetic Based Split Radix FFT Nisha Laguri #1, K. Anusudha *2 #1 M.Tech Student, Electronics, Department of Electronics Engineering, Pondicherry University, Puducherry, India

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,800 116,000 120M Open access books available International authors and editors Downloads Our

More information

The Hazard-Free Superscalar Pipeline Fast Fourier Transform Architecture and Algorithm

The Hazard-Free Superscalar Pipeline Fast Fourier Transform Architecture and Algorithm The Hazard-Free Superscalar Pipeline Fast Fourier Transform Architecture and Algorithm Abstract. This chapter examines the superscalar pipeline Fast Fourier Transform algorithm and architecture. The algorithm

More information

ENERGY EFFICIENT PARAMETERIZED FFT ARCHITECTURE. Ren Chen, Hoang Le, and Viktor K. Prasanna

ENERGY EFFICIENT PARAMETERIZED FFT ARCHITECTURE. Ren Chen, Hoang Le, and Viktor K. Prasanna ENERGY EFFICIENT PARAMETERIZED FFT ARCHITECTURE Ren Chen, Hoang Le, and Viktor K. Prasanna Ming Hsieh Department of Electrical Engineering University of Southern California, Los Angeles, USA 989 Email:

More information

Design of a Floating-Point Fused Add-Subtract Unit Using Verilog

Design of a Floating-Point Fused Add-Subtract Unit Using Verilog International Journal of Electronics and Computer Science Engineering 1007 Available Online at www.ijecse.org ISSN- 2277-1956 Design of a Floating-Point Fused Add-Subtract Unit Using Verilog Mayank Sharma,

More information

Radix-4 FFT Algorithms *

Radix-4 FFT Algorithms * OpenStax-CNX module: m107 1 Radix-4 FFT Algorithms * Douglas L Jones This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 10 The radix-4 decimation-in-time

More information

International Journal of Innovative and Emerging Research in Engineering. e-issn: p-issn:

International Journal of Innovative and Emerging Research in Engineering. e-issn: p-issn: Available online at www.ijiere.com International Journal of Innovative and Emerging Research in Engineering e-issn: 2394-3343 p-issn: 2394-5494 Design and Implementation of FFT Processor using CORDIC Algorithm

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL

IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL Tharanidevi.B 1, Jayaprakash.R 2 Assistant Professor, Dept. of ECE, Bharathiyar Institute of Engineering for Woman, Salem, TamilNadu,

More information

ENERGY EFFICIENT PARAMETERIZED FFT ARCHITECTURE. Ren Chen, Hoang Le, and Viktor K. Prasanna

ENERGY EFFICIENT PARAMETERIZED FFT ARCHITECTURE. Ren Chen, Hoang Le, and Viktor K. Prasanna ENERGY EFFICIENT PARAMETERIZED FFT ARCHITECTURE Ren Chen, Hoang Le, and Viktor K. Prasanna Ming Hsieh Department of Electrical Engineering University of Southern California, Los Angeles, USA 989 Email:

More information

Multiplierless Processing Element for Non-Power-of-Two FFTs

Multiplierless Processing Element for Non-Power-of-Two FFTs Multiplierless Processing Element for Non-Power-of-Two FFTs Fahad Qureshi, Anastasia Volkova, Thibault Hilaire, Jarmo Takala To cite this version: Fahad Qureshi, Anastasia Volkova, Thibault Hilaire, Jarmo

More information

Fast Block LMS Adaptive Filter Using DA Technique for High Performance in FGPA

Fast Block LMS Adaptive Filter Using DA Technique for High Performance in FGPA Fast Block LMS Adaptive Filter Using DA Technique for High Performance in FGPA Nagaraj Gowd H 1, K.Santha 2, I.V.Rameswar Reddy 3 1, 2, 3 Dept. Of ECE, AVR & SVR Engineering College, Kurnool, A.P, India

More information

A Configurable Floating-Point Discrete Hilbert Transform Processor for Accelerating the Calculation of Filter in Katsevich Formula

A Configurable Floating-Point Discrete Hilbert Transform Processor for Accelerating the Calculation of Filter in Katsevich Formula A Configurable Floating-Point Discrete Hilbert Transform Processor for Accelerating the Calculation of Filter in Katsevich Formula WANG XU, ZHANG YAN, WANG FEI and DING SHUNYING Department of Electronic

More information

High-Speed and Low-Power Split-Radix FFT

High-Speed and Low-Power Split-Radix FFT 864 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 51, NO. 3, MARCH 2003 High-Speed and Low-Power Split-Radix FFT Wen-Chang Yeh and Chein-Wei Jen Abstract This paper presents a novel split-radix fast Fourier

More information

Design Procedure Based on VHDL Language Transformations

Design Procedure Based on VHDL Language Transformations VLSI Design, 2002 Vol. 14 (4), pp. 349 354 Design Procedure Based on VHDL Language Transformations LÁSZLÓ VARGA, GÁBOR HOSSZÚ* and FERENC KOVÁCS Department of Electron Devices, Technical University of

More information

Power Spectral Density Computation using Modified Welch Method

Power Spectral Density Computation using Modified Welch Method IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 4 October 2015 ISSN (online): 2349-784X Power Spectral Density Computation using Modified Welch Method Betsy Elina Thomas

More information

Decimation-in-Frequency (DIF) Radix-2 FFT *

Decimation-in-Frequency (DIF) Radix-2 FFT * OpenStax-CX module: m1018 1 Decimation-in-Frequency (DIF) Radix- FFT * Douglas L. Jones This work is produced by OpenStax-CX and licensed under the Creative Commons Attribution License 1.0 The radix- decimation-in-frequency

More information

A Novel Distributed Arithmetic Multiplierless Approach for Computing Complex Inner Products

A Novel Distributed Arithmetic Multiplierless Approach for Computing Complex Inner Products 606 Int'l Conf. Par. and Dist. Proc. Tech. and Appl. PDPTA'5 A ovel Distributed Arithmetic Multiplierless Approach for Computing Complex Inner Products evin. Bowlyn, and azeih M. Botros. Ph.D. Candidate,

More information

Energy Optimizations for FPGA-based 2-D FFT Architecture

Energy Optimizations for FPGA-based 2-D FFT Architecture Energy Optimizations for FPGA-based 2-D FFT Architecture Ren Chen and Viktor K. Prasanna Ming Hsieh Department of Electrical Engineering University of Southern California Ganges.usc.edu/wiki/TAPAS Outline

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGAL PROCESSIG UT-FRBA www.electron.frba.utn.edu.ar/dplab UT-FRBA Frequency Analysis Fast Fourier Transform (FFT) Fast Fourier Transform DFT: complex multiplications (-) complex aditions

More information

6. Fast Fourier Transform

6. Fast Fourier Transform x[] X[] x[] x[] x[6] X[] X[] X[3] x[] x[5] x[3] x[7] 3 X[] X[5] X[6] X[7] A Historical Perspective The Cooley and Tukey Fast Fourier Transform (FFT) algorithm is a turning point to the computation of DFT

More information

STUDY OF A CORDIC BASED RADIX-4 FFT PROCESSOR

STUDY OF A CORDIC BASED RADIX-4 FFT PROCESSOR STUDY OF A CORDIC BASED RADIX-4 FFT PROCESSOR 1 AJAY S. PADEKAR, 2 S. S. BELSARE 1 BVDU, College of Engineering, Pune, India 2 Department of E & TC, BVDU, College of Engineering, Pune, India E-mail: ajay.padekar@gmail.com,

More information

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Doug Johnson, Applications Consultant Chris Eddington, Technical Marketing Synopsys 2013 1 Synopsys, Inc. 700 E. Middlefield Road Mountain

More information

FPGA Implementation of Discrete Fourier Transform Using CORDIC Algorithm

FPGA Implementation of Discrete Fourier Transform Using CORDIC Algorithm AMSE JOURNALS-AMSE IIETA publication-2017-series: Advances B; Vol. 60; N 2; pp 332-337 Submitted Apr. 04, 2017; Revised Sept. 25, 2017; Accepted Sept. 30, 2017 FPGA Implementation of Discrete Fourier Transform

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

ENT 315 Medical Signal Processing CHAPTER 3 FAST FOURIER TRANSFORM. Dr. Lim Chee Chin

ENT 315 Medical Signal Processing CHAPTER 3 FAST FOURIER TRANSFORM. Dr. Lim Chee Chin ENT 315 Medical Signal Processing CHAPTER 3 FAST FOURIER TRANSFORM Dr. Lim Chee Chin Outline Definition and Introduction FFT Properties of FFT Algorithm of FFT Decimate in Time (DIT) FFT Steps for radix

More information

VHDL IMPLEMENTATION OF A FLEXIBLE AND SYNTHESIZABLE FFT PROCESSOR

VHDL IMPLEMENTATION OF A FLEXIBLE AND SYNTHESIZABLE FFT PROCESSOR VHDL IMPLEMENTATION OF A FLEXIBLE AND SYNTHESIZABLE FFT PROCESSOR 1 Gatla Srinivas, 2 P.Masthanaiah, 3 P.Veeranath, 4 R.Durga Gopal, 1,2[ M.Tech], 3 Associate Professor, J.B.R E.C, 4 Associate Professor,

More information

Research Article Average Bandwidth Allocation Model of WFQ

Research Article Average Bandwidth Allocation Model of WFQ Modelling and Simulation in Engineering Volume 2012, Article ID 301012, 7 pages doi:10.1155/2012/301012 Research Article Average Bandwidth Allocation Model of WFQ TomášBaloghandMartinMedvecký Institute

More information

An Efficient Multi Precision Floating Point Complex Multiplier Unit in FFT

An Efficient Multi Precision Floating Point Complex Multiplier Unit in FFT An Efficient Multi Precision Floating Point Complex Multiplier Unit in FFT Mrs. Yamini Gayathri T Assistant Professor, ACS College of Engineering, Department of ECE, Bangalore-560074, India Abstract- Discrete

More information

Exercises in DSP Design 2016 & Exam from Exam from

Exercises in DSP Design 2016 & Exam from Exam from Exercises in SP esign 2016 & Exam from 2005-12-12 Exam from 2004-12-13 ept. of Electrical and Information Technology Some helpful equations Retiming: Folding: ω r (e) = ω(e)+r(v) r(u) F (U V) = Nw(e) P

More information

FPGA IMPLEMENTATION OF EFFCIENT MODIFIED BOOTH ENCODER MULTIPLIER FOR SIGNED AND UNSIGNED NUMBERS

FPGA IMPLEMENTATION OF EFFCIENT MODIFIED BOOTH ENCODER MULTIPLIER FOR SIGNED AND UNSIGNED NUMBERS FPGA IMPLEMENTATION OF EFFCIENT MODIFIED BOOTH ENCODER MULTIPLIER FOR SIGNED AND UNSIGNED NUMBERS NUNAVATH.VENNELA (1), A.VIKAS (2) P.G.Scholor (VLSI SYSTEM DESIGN),TKR COLLEGE OF ENGINEERING (1) M.TECHASSISTANT

More information