Feedback Techniques for Dual-rail Self-timed Circuits

Size: px
Start display at page:

Download "Feedback Techniques for Dual-rail Self-timed Circuits"

Transcription

1 This document is an author-formatted work. The definitive version for citation appears as: R. F. DeMara, A. Kejriwal, and J. R. Seeber, Feedback Techniques for Dual-Rail Self-Timed Circuits, in Proceedings of the 4 International Conference on VLSI (VLSI-4), pp , Las Vegas, Nevada, U.S.A., June 4, 4. Feedback Techniques for Dual-rail Self-timed Circuits Ronald F. DeMara Amit Kejriwal Jude Seeber Department of Electrical and Computer Engineering University of Central Florida Box 645, Orlando, FL Tel: demara@mail.ucf.edu Keywords: Asynchronous Circuit, Dual-rail Logic, NCL, Timer Register, Toggle Element Abstract Design techniques for time and space optimization of NCL feedback circuits are developed and compared. First, a -Register Stage method is employed to circulate DATA and wavefronts required to realize feedback in 4-bit binary timer case-study. While modular and adaptable, this approach requires a significant gate count to realize the feedback circuit that comprises 75% of the total gates required. The second methodology aims at reducing the feedback overhead by using the statemaintaining capacity inherent with each threshold logic gate s built-in hysteresis behavior. This methodology employs two characteristics: the circuit preserves its present state; and it keeps track of the number of requests for DATA so that it can determine the appropriate next state. This embedded approach can reduce the feedback gate count by nearly 5% and also DATA-to-DATA cycle time by % depending on the feedback scheme used. Finally, the above-explained methodologies are assessed in terms of their design tradeoffs.. Introduction Convention Logic (NCL) [] offers a self-timed logic paradigm where control is inherent with each datum. NCL follows the so-called weak conditions of Seitz s delay-insensitive signaling scheme []. As with other self-timed logic methods discussed herein, the NCL paradigm assumes that forks in wires are isochronic [, 4]. The origins of various aspects of the paradigm, including the (or spacer) logic state from which NCL derives its name, can be traced back to Muller s work on speed-independent circuits in the 95s and 96s [5]. NCL differs from the above-mentioned methods in that they only utilize one type of state-holding gate, the C-element [5]. On the other hand, all NCL gates are state-holding as described below. As shown in Figure, a dual-rail signal in NCL consists of two wires, Rail_ and Rail_, which may assume any value from the set {DATA, DATA, }. The DATA state (Rail_ =, Rail_ = ) corresponds to a Boolean logic, the DATA state (Rail_ =, Rail_ = ) corresponds to a Boolean logic, and the state (Rail_ =, Rail_ = ) meaning that the value is not yet available. The two rails are mutually exclusive, so that both rails can never be asserted simultaneously; this state is defined as an illegal state. DATA Rail Rail DATA Rail Rail Rail Rail Rail Rail Figure : NCL DATA and states. Undefined NCL circuits can be composed using a methodology that utilizes NCL threshold gates with hysteresis [6,7]. One type of threshold gate is the THmn gate, where m n, as depicted in Figure. A THmn gate corresponds to an operator with at least m signals asserted as its set condition and all signals de-asserted as its reset condition. THmn gates have n inputs. At least m of the n inputs must be asserted before the output will become asserted. Because threshold gates are designed with hysteresis, all asserted inputs must be de-asserted before the output will

2 be de-asserted. Hysteresis is used to provide a means for monotonic transitions and a complete transition of multirail inputs back to a state before asserting the output associated with the next wavefront of input data. In a THmn gate, each of the n inputs is connected to the rounded portion of the gate. The output emanates from the pointed end of the gate. The gate s threshold value, m, is written inside of the gate. Inputs n m output Figure : Threshold Gate with Hysteresis Karl Fant [] demonstrated an NCL-based binaryencoded up-counter design based on Ring Oscillator circuits. For instance, Figure depicts an arrangement of w Ring Oscillators to generate the required bit sequences for a w-bit-wide count value C where w=. The calculation of each bit C i is computed independently upon assertion of up-count control. Specifically, whenever the value of C is incremented, the required bit values for the corresponding sequence of C i for i w are recirculated within their respective ring. This approach generates the output sequence for each bit C i independently without requiring input from any elements that generate C j for j i. Although Figure realizes the bit sequence for a binary-encoded up-counter, this approach is readily generalized to arbitrary sequences using the corresponding arrangement of feedback shift registers. However, when such circuits employing feedback are designed in NCL, a primary concern is maintaining the proper flow of DATA and wavefronts such that neither wavefront is prematurely overwritten. A straightforward solution is to insert register stages that delineate the completion boundaries of the asynchronous subcircuits. However, the inclusion of additional register stages also increases the transistor count and the DATA-to-DATA cycle time. Thus, this paper develops alternative methods to provide NCL space and time optimizations in the presence of feedback while maintaining delay-insensitivity. This paper is organized into 6 sections. In Section, a baseline NCL 4-bit timer design using a -Register Stage feedback circuit is presented. Section defines the characteristics to be optimized over the baseline design. The consideration of these characteristics leads to development of a self-contained toggle element using NCL threshold gates in Section 4. Section 5 utilizes the toggle element in the alternative design methods which are simulated and compared using a case study where w=4. Applications requiring w>4 can be accommodated by extending the proposed techniques or cascading 4-bit stages. Section 6 identifies conclusions and potential implementation considerations.. Three-Register Stage Feedback. Design Approach The conventional -Register stage approach for controlling feedback includes the required up-counter combinational logic and register stages. This feedback network is shown in Figure 4. The use of three stages accommodates re-circulation of the DATA and wavefronts while prohibiting improper overwriting or deadlock scenarios possible with less than register stages []. Output C (MSB) Output C Output C (LSB) Figure : Ring Oscillator -bit Counter/Timer The output of the combinational circuit is fed to the input of the first register while the output of the third register is fedback to the combinational circuit. Initially, the register stages R, R, and R are initialized to DATA,, and, respectively. R will request for, R will request for DATA, and R will also request for DATA. This does not create the possibility of a lockup scenario since the required inputs are available for R, R, and R. Table lists the flow of wavefronts and signaling sequences for this configuration. Initial Operands Condition (if Input == Request) Register Operands Condition (if Input == Request) Register Operands Condition (if Input == Request) Register Operands Input DATA utput DATA Hold state DATA State State Request DATA R Input DATA DATA Output State DATA DATA Hold state DATA State Request DATA DATA DATA Input DATA DATA DATA R Output State Hold state DATA State Request DATA DATA

3 Table : -Register Signaling Sequence Combinational Logic Request for NCL Register Stage R DATA Request for DATA NCL Register Stage R Request for DATA NCL Register Stage Figure 4: -Register Stage Feedback Initialization. Block Diagram As shown in Figure 5, an NCL 4-bit timer/counter has the functionality of incrementing the present value of the Timer upon the arrival of each DATA cycle. The Timer Increment synchronization signal for the request for DATA or is controlled externally by asserting the request input, K i, of the register []. The convention used is that when K i is asserted then it denotes a request for DATA. When it K i is de-asserted then it denotes a request for. The w-bit count value C indicates the current timer value and K o is required to determine whether the DATA should be requested or should be requested. Reset sets the timer to the initial condition and zeroes the count value. Reset Ko Figure 5: NCL 4-bit Timer 4-bit Timer block diagram R C(:) Ki NCL Register NCL Register NCL Register Count (:) Figure 6: -Register Stage 4-Bit Timer. NCL Timer with -Register Stage Feedback As shown in Figure 6, the Reset signal sets the registers to the initial values of DATA,, for register stage, register stage, register stage respectively along with interconnections to the completion logic labeled COMP. The request, K i, for DATA or, is provided as the input to the circuit to regulate the timer operation. An AND gate is used to combine K i with the request from register stage. Thus, unless they match, the request to register stage will not change. This synchronizes the timer with the external control signal. The COMP logic detects the completion of the DATA or cycle for all bits in the corresponding stage of the circuit. The output is taken from the first register, though it could be similarly obtained from the output of any register stage..4 4-Bit Increment Circuit Using a K-Map for both Rail and Rail individually, the increment-by- circuit can be reduced to the following equations: Y().rail= X.rail Y().rail= X.rail Y().rail= X().rail. X().rail + X().rail. X().rail Y().rail= X().rail. X().rail + X().rail. X().rail Y().rail= X.rail ( X.rail + X.rail) + X.rail. X.rail. X.rail Y().rail= X.rail (X.rail + X.rail) + X.rail. X.rail. X.rail Y().rail= X().rail(X().rail + X().rail + X().rail) + X().rail. X().rail. X().rail. X().rail Y().rail= X().rail (X().rail + X().rail + X().rail) + X().rail. X().rail. X().rail. X().rail Increment Circuitry (:) (:) Stage Stage Stage (:) (:) The equations are realized using the circuit in Figure 7. Ko Ki Ko Ki Ko Ki Reset Reset to DATA Reset to Reset to COMP Ki COMP Ko

4 X X X X X X X Figure 7: X 4-Bit Timer Increment Circuit Table : Simulation Results for -Register Timer Gate Count Transistor Count Increment Register Total Increment Total Table shows design parameters and simulation results for the -Register stage 4-bit timer. Results are based on simulations performed using SPICE in a Cadence design environment with a.8µ technology library at. Volts. T DD denotes the DATA-to-DATA cycle time, which for this configuration was 5.5 ns. Observe that the gate count of the registers is.4-fold larger than the gate count of increment circuit itself. Thus, just to achieve the feedback regulating the DATA and wavefronts, 4 overhead gates are required in addition to the gates needed by the increment circuit. The overhead not only increases the cost but also increases T DD. These are the primary motivation for the alternative designs developed in the remainder of the paper. 4 4 T DD ns x () Threshold Gates THx () Used for the Circuit THwx (4) TH4wx () wx () Y Y Y Y Y Y Y Y threshold gates themselves. Embedded registration within the increment circuit requires that the increment circuit:. retains its present state, and. keeps the track of the number of request for DATA to determine the appropriate next state. These two objectives can both be achieved if each bit C i is generated by an appropriate state machine. The state machine that generates C i has i distinct states of which half will output a single bit with a value of and the other half will output a single bit with a value of. In particular, the state machine outputs a string s that is i long and then a string s that is i long. The state machine then resets and repeats its cycle, just as in the case of the Ring Oscillator design in Figure. Without using Feedback Registers, additional gates are used to detect when the output from C i transitions from to perform the reset of the state machine generating C i. It simultaneously triggers the transition to the next state in the state machine that generates C i+. By cascading these state machines, the complete sequence for all bits of C are generated while maintaining the overall integrity of the DATA and wavefronts. 4. Toggle Elements for Embedded Registration The basic building block for embedded registration in the NCL timer is the state machine that generates C. This circuit generates the sequence and thus acts as a Toggle Element. The NCL toggle element must consider both the wavefront and the DATA wavefronts. In particular, it will generate a DATA DATA cycle. Instead of feedback using registers, if the present state is retained internally along with the count of requests for DATA that are received, then the required behavior for a binary toggle element is achieved. 4. Toggle Element with Reset The circuit shown in Figure 8 can store the present state and also provide a mechanism to maintain the number of requests for DATA. The sequence of timing signals is listed in Table. After the cycle is complete, the circuit is required to begin a new cycle. Since the last output is a DATA output, the next is the request for, which indicates K i =. The reset circuitry in Figure 8 will be asserted since R = and K i =.. NCL Timer Without Feedback Registers Instead of inserting register stages for controlling feedback, an increment circuit is developed with embedded feedback using the hysteresis in the NCL Table : Sequence of Toggle Element with Reset initial state state state state state4 T Null reset T Null reset T Null reset T5(Reset) Null R Null R Null Ki DATA DATA DATA

5 A T A4 R T5 A A T A5 R T T4 CIRCUIT Figure 8: Toggle Element with Reset A7 A6 After each cycle is complete the circuit is required to start a new cycle. Since last output was a DATA output, next is the request for, which has K i =. At this time both the inputs to gate A are de-asserted, as R = so its compliment is equal to and K i =, which caused the gate A to be de-asserted and the output is achieved. However, this circuit is not entirely delay-insensitive because NCL circuits utilizing feedback without intervening asynchronous registers are susceptible to a feedback-lagging race condition. In this case, the time at which the feedback to the A threshold gate might arrive being later than the request for should be considered. This circuit has an exposure to the case that the reset signal to the A gate is delayed while the cycle is complete and the DATA wavefront is arriving. Thus, this circuit should be designed as a module and needs to take into consideration the wire and gate propagation delays associated with the reset circuitry. 4. Toggle Element without Reset Instead of using the above-explained methodology for the Toggle element, an alternative methodology shown in Figure 9 can be used. Instead of always keeping one of the inputs asserted, the compliment of one of the output rails is feedback using the bubble inverted input on the upper gate labeled A in Figure 9. K i A R T H T A T H T A A4 R O Figure 9: Toggle Element without Reset This methodology eliminates the race condition involved with generating the reset signal to set the circuit back to its initial value once it completes each cycle. The gates A and A are initialized to and will follow the sequence listed in Table 4. Table 4: Sequence of Toggle Element without Reset initial state state state state state4 T Null reset to T Null reset to R Null R Null Ki DATA DATA DATA

6 5. NCL Timer with Embedded Registration 5. Version A: Reset-equipped Gates with Combinational Rollover-Detect Bit C is generated by the Toggle Element directly. Bit Bit C is generated in Figure according to Table 5. The information that C is starting a new cycle is stored in the threshold gate with one input always asserted. Once the reset signal of C is asserted the output of is also asserted otherwise it remains de-asserted. K i T5 T7 G T8 G TH T9 T4 R R T6 Reset Circuit Figure : C circuit for Version A Timer Table 5: C sequence for Version A Timer initial state state state state state4 T4 reset to T7(Reset) Null A Null reset to R Null R Null R Null Once the circuit has completed its cycle of and DATA wavefronts, it is necessary to reset the C state machine to its initial condition. Reset will be asserted when RAIL of C and C, i.e. R and R respectively, and complement of K i are asserted. This is only possible when both C and C start a new cycle. Succeeding bits are generated similarly as described below. 5. Version A: Reset-equipped Embedded Register The version A circuit can be developed using more threshold gates to replace all the non-hysteresis gates used in the reset circuitry except the non-hysteresis AND gate. This reduces the gate count from 44 gates to 8 gates, although the transistor count remains unchanged since the weighted gates used each require more transistors individually. The complete circuit for Version A is shown in Figure for an NCL timer with w=4.

7 TH TH TH R R O R TH arises before RAIL of C can be asserted. Thus, the Self-Reset circuit remembers the status of the previous bit and upon every other cycle resets the circuit. For C and C, the same logic is used except in C, the S gate in the Self-Reset circuit is asserted only when RAIL for bit and RAIL for bit are asserted. For generating K o the same logic is required as for the completion circuit in Version A. The complete circuit for Version B is shown in Figure. TH R K O R R TH x_ R S TH R S R S Self Reset R TH R R Figure : Version A Timer 5. Version B: Without Reset-equipped Gates The timer without embedded registration and without reset uses the complement of RAIL as a controlling input. Observe in Figure that the threshold gate G always has one input asserted so there is a need to generate a reset signal to de-assert it when needed. As shown in Figure, the S threshold gate in the chain of the Self-Reset circuitry is a Th gate to which one input is the output of RAIL of C, the second input is the complement of the output of gate S. The third input to first threshold gate, x_, to the S gate of the Self-Reset circuit is provided so that the first threshold gate can assert at the proper wavefront. If this input is not present then RAIL will start following K i prematurely. The S gate will assert when RAIL of C is asserted which will allow RAIL of C to follow K i as it has in Versions A and A. The output of the S gate will remain de-asserted until the time C completes its cycle twice. This is possible because the S gate in the Self- Reset circuit is asserted when the S gate and RAIL for C is asserted. In the second cycle, RAIL of C will be asserted and the third threshold gate will assert its output. At that time, the complement of the output of the S gate, which is the input to S gate, will be de-asserted. The S gate introduced in the Self-Reset circuit has the purpose of becoming asserted when a unique sequence Figure : C Circuit Diagram for Version B 5.4 Simulation Results Table 6 lists the Gate Count, Transistor Count and Data-to-Data cycle time for all the methodologies discussed in the paper. Results are based on simulations performed using SPICE in a Cadence design environment with a.8µ technology library at. Volts. Storage Mechanism Design Gate CountTransistor Count T DD Approach : -Stage Asynchronous Conventional design ns registration Approach : -Stage Asynchronous Collapsed REQUEST ns registration control Approach : Embedded registration Version A: Reset-equipped gates ns with combinational rollover-detect gates Version A: Reset-equipped gates ns with TH rollover-detect gates Version B: Without 74.5 ns Reset-equipped Gates Table 6: Simulation Results for Alternative Designs

8 x_ R TH equipped gates. Version B also produced the most space and time optimized circuits among these alternatives. It was also shown how dual-rail designs without explicit registration can suffer from the drawback of feedbacklagging race conditions that can only be mitigated at the physical design level. x_ R TH R R R All the methodologies developed herein will reduce overhead in terms of gate count and cycle time more significantly as the input width w of the circuit increases. In particular, the increases in the transistor count are almost linear with respect to w using methodologies for versions A, A, and B. A minor implementation limitation when w becomes large is the input width of the gates available, which is limited to 4 in current NCL libraries. TH R TH R R TH R R TH R K O Acknowledgements: The authors would like to acknowledge Heng Tan and Kening Zhang for their review and enhancements that have improved this paper. R R R R R R R Figure : Version B Without Reset-Equipped Gates 6. Conclusion Feedback in dual-rail self-timed circuits using the conventional method of -register stages has overhead three times larger than the Increment circuitry itself. The methodology developed herein reduces this overhead by embedding registration within state machines that realize an increment sequence on a bit-by-bit basis. For the test case of a 4-bit timer, the embedded approaches reduced the feedback gate count by nearly 5% and also DATAto-DATA cycle time by % over -stage feedback. Version A and A used feedback with embedded registration with reset-equipped gates. Version B further optimized the feedback process without using reset- TH TH References: [] Karl M. Fant and Scott A. Brandt, Convention Logic: A Complete and Consistent Logic for Asynchronous Digital Circuit Synthesis, 996 International Conference on Application Specific Systems, Architectures, and Processors, pp [] C. L. Seitz, System Timing, in Introduction to VLSI Systems, Addison-Wesley, 98, pp 8-6 [] A. J. Martin, Programming in VLSI, in Development in Concurrency and Communication, Addison-Wesley, 99, pp 64. [4] K. Van Berkel, Beware the Isochronic Fork, Integration, The VLSI Journal, Vol., No., 99, pp -8. [5] D. E. Muller, Asynchronous Logics and Application to Information Processing, in Switching Theory in Space Technology, Stanford University Press, 96, pp [6] S. C. Smith, R. F. DeMara, J. S. Yuan, D. Ferguson, and D. Lamb, Optimization of Convention Selftimed Circuits, Integration, The VLSI Journal, 4, in press. [7] S. C. Smith, R. F. DeMara, J. S. Yuan, M. Hagedorn and D.Ferguson, Delay-Insensitive Gate-Level Pipelining, Integration, The VLSI Journal, Vol., No., November, pp -.

9 TH TH TH TH R TH R R K O TH R R TH R R O

Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput

Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput Scott C. Smith University of Missouri Rolla, Department of Electrical and Computer Engineering

More information

NULL Convention Multiply and Accumulate Unit with Conditional Rounding, Scaling, and Saturation

NULL Convention Multiply and Accumulate Unit with Conditional Rounding, Scaling, and Saturation NULL Convention Multiply and Accumulate Unit with Conditional Rounding, Scaling, and Saturation S. C. Smith R. F. DeMara and J. S. Yuan University of Missouri Rolla School of Electrical Engineering and

More information

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Brent Hollosi 1, Tao Zhang 2, Ravi S. P. Nair 3, Yuan Xie 2, Jia Di 1, and Scott Smith 3 1 Computer Science &

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

Implementation of NULL CONVENTIONAL LOGIC in COTS FPGA s

Implementation of NULL CONVENTIONAL LOGIC in COTS FPGA s Implementation of NULL CONVENTIONAL LOGIC in COTS FPGA s A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Engineering By MOHAMAD RAJGARA B.E. in Electronics,

More information

Reliable Physical Unclonable Function based on Asynchronous Circuits

Reliable Physical Unclonable Function based on Asynchronous Circuits Reliable Physical Unclonable Function based on Asynchronous Circuits Kyung Ki Kim Department of Electronic Engineering, Daegu University, Gyeongbuk, 38453, South Korea. E-mail: kkkim@daegu.ac.kr Abstract

More information

Optimization of Robust Asynchronous Circuits by Local Input Completeness Relaxation. Computer Science Department Columbia University

Optimization of Robust Asynchronous Circuits by Local Input Completeness Relaxation. Computer Science Department Columbia University Optimization of Robust Asynchronous ircuits by Local Input ompleteness Relaxation heoljoo Jeong Steven M. Nowick omputer Science Department olumbia University Outline 1. Introduction 2. Background: Hazard

More information

Self-Checking Fault Detection using Discrepancy Mirrors

Self-Checking Fault Detection using Discrepancy Mirrors Manuscript to Appear in the 2005 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 05), June 2005, Las Vegas, Nevada. Copyright and all rights therein are

More information

Comparison of Data Transfer Alternatives in Asynchronous Circuits

Comparison of Data Transfer Alternatives in Asynchronous Circuits University of Arkansas, Fayetteville ScholarWorks@UARK Computer Science and Computer Engineering Undergraduate Honors Theses Computer Science and Computer Engineering 5-2018 Comparison of Data Transfer

More information

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital hardware modules that accomplish a specific information-processing task. Digital systems vary in

More information

Ripple Counters. Lecture 30 1

Ripple Counters. Lecture 30 1 Ripple Counters A register that goes through a prescribed sequence of states upon the application of input pulses is called a counter. The input pulses may be clock pulses, or they may originate from some

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI DEPARTMENT: ECE MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 621213 QUESTION BANK SUBJECT NAME: DIGITAL ELECTRONICS SEMESTER III SUBJECT CODE: EC2203 UNIT 5 : Synchronous and Asynchronous Sequential

More information

Globally Asynchronous Locally Synchronous FPGA Architectures

Globally Asynchronous Locally Synchronous FPGA Architectures Globally Asynchronous Locally Synchronous FPGA Architectures Andrew Royal and Peter Y. K. Cheung Department of Electrical & Electronic Engineering, Imperial College, London, UK {a.royal, p.cheung}@imperial.ac.uk

More information

Designing Computer Systems Counters

Designing Computer Systems Counters Designing Computer Systems Counters 08:50:07 PM 4 June 2013 CT-1 Scott & Linda Wills Designing Computer Systems Counters A register has a critical role to play in digital computing systems. Its stores

More information

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control,

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control, UNIT - 7 Basic Processing Unit: Some Fundamental Concepts, Execution of a Complete Instruction, Multiple Bus Organization, Hard-wired Control, Microprogrammed Control Page 178 UNIT - 7 BASIC PROCESSING

More information

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and shift registers, which is most useful in conversion between

More information

Power Efficient High Temperature Asynchronous Microcontroller Design

Power Efficient High Temperature Asynchronous Microcontroller Design University of Arkansas, Fayetteville ScholarWorks@UARK Theses and Dissertations 5-27 Power Efficient High Temperature Asynchronous Microcontroller Design Nathan William Kuhns University of Arkansas, Fayetteville

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

Arbiter for an Asynchronous Counterflow Pipeline James Copus

Arbiter for an Asynchronous Counterflow Pipeline James Copus Arbiter for an Asynchronous Counterflow Pipeline James Copus This document attempts to describe a special type of circuit called an arbiter to be used in a larger design called counterflow pipeline. First,

More information

DYNAMIC ASYNCHRONOUS LOGIC FOR HIGH SPEED CMOS SYSTEMS. I. Introduction

DYNAMIC ASYNCHRONOUS LOGIC FOR HIGH SPEED CMOS SYSTEMS. I. Introduction DYNAMIC ASYNCHRONOUS LOGIC FOR HIGH SEED CMOS SYSTEMS Anthony J. McAuley Bellcore (Room MRE-2N263), 445 South Street, Morristown, NJ 07962-1910, USA hone: (201)-829-4698; E-mail: mcauley@bellcore.com Abstract

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Writing Circuit Descriptions 8

Writing Circuit Descriptions 8 8 Writing Circuit Descriptions 8 You can write many logically equivalent descriptions in Verilog to describe a circuit design. However, some descriptions are more efficient than others in terms of the

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

High-Level Design for Asynchronous Logic

High-Level Design for Asynchronous Logic High-Level Design for Asynchronous Logic Ross Smith, Michiel Ligthart Theseus Logic {ross.smith, michiel.ligthart}@theseus.com Abstract Asynchronous, self-timed, logic is often eschewed in digital design

More information

Cost-aware Synthesis of Asynchronous Datapath based on Partial Acknowledgement

Cost-aware Synthesis of Asynchronous Datapath based on Partial Acknowledgement School of Electrical, Electronic & Computer Engineering Cost-aware Synthesis of Asynchronous Datapath based on Partial Y. Zhou, D.Sokolov and A. Yakovlev Technical Report Series NCL-EECE-MSD-TR-26-3 February

More information

A full asynchronous serial transmission converter for network-on-chips

A full asynchronous serial transmission converter for network-on-chips Vol. 31, No. 4 Journal of Semiconductors April 2010 A full asynchronous serial transmission converter for network-on-chips Yang Yintang( 杨银堂 ), Guan Xuguang( 管旭光 ), Zhou Duan( 周端 ), and Zhu Zhangming(

More information

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT UNIT-III 1 KNREDDY UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT Register Transfer: Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Micro operations Logic

More information

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign I hope you have completed Part 1 of the Experiment. This lecture leads you to Part 2 of the experiment and hopefully helps you with your progress to Part 2. It covers a number of topics: 1. How do we specify

More information

A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits

A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits Basit Riaz Sheikh and Rajit Manohar, Cornell University We present two novel energy-efficient pipeline templates for high

More information

PESIT Bangalore South Campus

PESIT Bangalore South Campus INTERNAL ASSESSMENT TEST III Date : 21/11/2017 Max Marks : 40 Subject & Code : Computer Organization (15CS34) Semester : III (A & B) Name of the faculty: Mrs. Sharmila Banu Time : 11.30 am 1.00 pm Answer

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

The design of a simple asynchronous processor

The design of a simple asynchronous processor The design of a simple asynchronous processor SUN-YEN TAN 1, WEN-TZENG HUANG 2 1 Department of Electronic Engineering National Taipei University of Technology No. 1, Sec. 3, Chung-hsiao E. Rd., Taipei,10608,

More information

This article was origally published a journal published by Elsevier, and the attached copy is provided by Elsevier for the author s benefit and for the benefit of the author s stitution, for non-commercial

More information

Register Transfer Level in Verilog: Part I

Register Transfer Level in Verilog: Part I Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part I Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

Microcomputers. Outline. Number Systems and Digital Logic Review

Microcomputers. Outline. Number Systems and Digital Logic Review Microcomputers Number Systems and Digital Logic Review Lecture 1-1 Outline Number systems and formats Common number systems Base Conversion Integer representation Signed integer representation Binary coded

More information

PROBLEMS. 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory?

PROBLEMS. 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory? 446 CHAPTER 7 BASIC PROCESSING UNIT (Corrisponde al cap. 10 - Struttura del processore) PROBLEMS 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory?

More information

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines Lecture 3 Behavioral Modeling Sequential Circuits Registers Counters Finite State Machines Behavioral Modeling Behavioral Modeling Behavioral descriptions use the keyword always, followed by optional event

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Model EXAM Question Bank

Model EXAM Question Bank VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI Department of Information Technology Model Exam -1 1. List the main difference between PLA and PAL. PLA: Both AND and OR arrays are programmable

More information

Systolic Super Summation with Reduced Hardware

Systolic Super Summation with Reduced Hardware Systolic Super Summation with Reduced Hardware Willard L. Miranker Mathematical Sciences Department IBM T.J. Watson Research Center Route 134 & Kitichwan Road Yorktown Heights, NY 10598 Abstract A principal

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

BACKGROUND OF THE INVENTION

BACKGROUND OF THE INVENTION Self-Reconfigurable Parallel Processor Made From Regularly-Connected Self-Dual Code/Data Processing Cells US Patent #5,886,537 Nicholas J. Macias, Lawrence B. Henry III and Murali Dandu Raju Abstract:

More information

Computer Systems. Binary Representation. Binary Representation. Logical Computation: Boolean Algebra

Computer Systems. Binary Representation. Binary Representation. Logical Computation: Boolean Algebra Binary Representation Computer Systems Information is represented as a sequence of binary digits: Bits What the actual bits represent depends on the context: Seminar 3 Numerical value (integer, floating

More information

Trusting Logic. Karl Fant

Trusting Logic. Karl Fant rusting Logic Karl ant igure 1 A Boolean logic expression. Boolean logic is a mathematical symbol system. here is a population of symbols organized into a state space, a set of primitive function mappings,

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR DLD UNIT III Combinational Circuits (CC), Analysis procedure, Design Procedure, Combinational circuit for different code converters and other problems, Binary Adder- Subtractor, Decimal Adder, Binary Multiplier,

More information

UNIT - I: COMPUTER ARITHMETIC, REGISTER TRANSFER LANGUAGE & MICROOPERATIONS

UNIT - I: COMPUTER ARITHMETIC, REGISTER TRANSFER LANGUAGE & MICROOPERATIONS UNIT - I: COMPUTER ARITHMETIC, REGISTER TRANSFER LANGUAGE & MICROOPERATIONS (09 periods) Computer Arithmetic: Data Representation, Fixed Point Representation, Floating Point Representation, Addition and

More information

Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2

Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2

More information

On-Line Error Detecting Constant Delay Adder

On-Line Error Detecting Constant Delay Adder On-Line Error Detecting Constant Delay Adder Whitney J. Townsend and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin whitney and jaa @cerc.utexas.edu Parag K. Lala

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

Chapter 4 Design of Function Specific Arithmetic Circuits

Chapter 4 Design of Function Specific Arithmetic Circuits Chapter 4 Design of Function Specific Arithmetic Circuits Contents Chapter 4... 55 4.1 Introduction:... 55 4.1.1 Incrementer/Decrementer Circuit...56 4.1.2 2 s Complement Circuit...56 4.1.3 Priority Encoder

More information

Design of Parallel Self-Timed Adder

Design of Parallel Self-Timed Adder Design of Parallel Self-Timed Adder P.S.PAWAR 1, K.N.KASAT 2 1PG, Dept of EEE, PRMCEAM, Badnera, Amravati, MS, India. 2Assistant Professor, Dept of EXTC, PRMCEAM, Badnera, Amravati, MS, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Delay Insensitive Ternary CMOS Logic for Secure Hardware

Delay Insensitive Ternary CMOS Logic for Secure Hardware J. Low Power Electron. Appl. 2015, 5, 183-215; doi:10.3390/jlpea5030183 Article Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea Delay Insensitive Ternary CMOS

More information

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION SUNITH KUMAR BANDI #1, M.VINODH KUMAR *2 # ECE department, M.V.G.R College of Engineering, Vizianagaram, Andhra Pradesh, INDIA. 1 sunithjc@gmail.com

More information

Energy and Performance Balancing Architecture for Asynchronous Data Processing Platforms

Energy and Performance Balancing Architecture for Asynchronous Data Processing Platforms University of Arkansas, Fayetteville ScholarWorks@UARK Theses and Dissertations 8-2017 Energy and Performance Balancing Architecture for Asynchronous Data Processing Platforms Chien-Wei Lo University of

More information

Chapter 4 Arithmetic Functions

Chapter 4 Arithmetic Functions Logic and Computer Design Fundamentals Chapter 4 Arithmetic Functions Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview Iterative combinational

More information

Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding

Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding Marcos Ferretti, Peter A. Beerel Department of Electrical Engineering Systems University of Southern California Los Angeles, CA 90089

More information

Section 3 - Backplane Architecture Backplane Designer s Guide

Section 3 - Backplane Architecture Backplane Designer s Guide Section 3 - Backplane Architecture Backplane Designer s Guide March 2002 Revised March 2002 The primary criteria for backplane design are low cost, high speed, and high reliability. To attain these often-conflicting

More information

!"#$%&'()'*)+,-.,%%(.,-)/+&%#0(.#"&)",1)+&%#0(',.#2)+,-.,%%(.,-3)

!#$%&'()'*)+,-.,%%(.,-)/+&%#0(.#&),1)+&%#0(',.#2)+,-.,%%(.,-3) Bridging And Open Faults Detection In A Two Flip-Flop Synchronizer A thesis submitted to the faculty of University Of Cincinnati,OH in partial fulfillment of the requirements for the degree of Master of

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

JOURNAL OF INTERNATIONAL ACADEMIC RESEARCH FOR MULTIDISCIPLINARY Impact Factor 1.393, ISSN: , Volume 2, Issue 7, August 2014

JOURNAL OF INTERNATIONAL ACADEMIC RESEARCH FOR MULTIDISCIPLINARY Impact Factor 1.393, ISSN: , Volume 2, Issue 7, August 2014 DESIGN OF HIGH SPEED BOOTH ENCODED MULTIPLIER PRAVEENA KAKARLA* *Assistant Professor, Dept. of ECONE, Sree Vidyanikethan Engineering College, A.P., India ABSTRACT This paper presents the design and implementation

More information

Wave-Pipelining the Global Interconnect to Reduce the Associated Delays

Wave-Pipelining the Global Interconnect to Reduce the Associated Delays Wave-Pipelining the Global Interconnect to Reduce the Associated Delays Jabulani Nyathi, Ray Robert Rydberg III and Jose G. Delgado-Frias Washington State University School of EECS Pullman, Washington,

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier Vivek. V. Babu 1, S. Mary Vijaya Lense 2 1 II ME-VLSI DESIGN & The Rajaas Engineering College Vadakkangulam, Tirunelveli 2 Assistant Professor

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

A Low-Power Carry Skip Adder with Fast Saturation

A Low-Power Carry Skip Adder with Fast Saturation A Low-Power Carry Skip Adder with Fast Saturation Michael Schulte,3, Kai Chirca,2, John Glossner,2,Suman Mamidi,3, Pablo Balzola, and Stamatis Vassiliadis 2 Sandbridge Technologies, Inc. White Plains,

More information

Performance Evaluation of a Novel Direct Table Lookup Method and Architecture With Application to 16-bit Integer Functions

Performance Evaluation of a Novel Direct Table Lookup Method and Architecture With Application to 16-bit Integer Functions Performance Evaluation of a Novel Direct Table Lookup Method and Architecture With Application to 16-bit nteger Functions L. Li, Alex Fit-Florea, M. A. Thornton, D. W. Matula Southern Methodist University,

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

Low Power GALS Interface Implementation with Stretchable Clocking Scheme

Low Power GALS Interface Implementation with Stretchable Clocking Scheme www.ijcsi.org 209 Low Power GALS Interface Implementation with Stretchable Clocking Scheme Anju C and Kirti S Pande Department of ECE, Amrita Vishwa Vidyapeetham, Amrita School of Engineering Bangalore,

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

HIGH PERFORMANCE QUATERNARY ARITHMETIC LOGIC UNIT ON PROGRAMMABLE LOGIC DEVICE

HIGH PERFORMANCE QUATERNARY ARITHMETIC LOGIC UNIT ON PROGRAMMABLE LOGIC DEVICE International Journal of Advances in Applied Science and Engineering (IJAEAS) ISSN (P): 2348-1811; ISSN (E): 2348-182X Vol. 2, Issue 1, Feb 2015, 01-07 IIST HIGH PERFORMANCE QUATERNARY ARITHMETIC LOGIC

More information

Comparison of Various Pipelined and Non- Pipelined SCl 8051 ALUs

Comparison of Various Pipelined and Non- Pipelined SCl 8051 ALUs University of Arkansas, Fayetteville ScholarWorks@UARK Theses and Dissertations 8-2012 Comparison of Various Pipelined and Non- Pipelined SCl 8051 ALUs Jingyi Zhao University of Arkansas, Fayetteville

More information

Verilog Design Principles

Verilog Design Principles 16 h7fex // 16-bit value, low order 4 bits unknown 8 bxx001100 // 8-bit value, most significant 2 bits unknown. 8 hzz // 8-bit value, all bits high impedance. Verilog Design Principles ECGR2181 Extra Notes

More information

UNIT-II. Part-2: CENTRAL PROCESSING UNIT

UNIT-II. Part-2: CENTRAL PROCESSING UNIT Page1 UNIT-II Part-2: CENTRAL PROCESSING UNIT Stack Organization Instruction Formats Addressing Modes Data Transfer And Manipulation Program Control Reduced Instruction Set Computer (RISC) Introduction:

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Computer Architecture Programming the Basic Computer

Computer Architecture Programming the Basic Computer 4. The Execution of the EXCHANGE Instruction The EXCHANGE routine reads the operand from the effective address and places it in DR. The contents of DR and AC are interchanged in the third microinstruction.

More information

Chapter 2: Number Systems

Chapter 2: Number Systems Chapter 2: Number Systems Logic circuits are used to generate and transmit 1s and 0s to compute and convey information. This two-valued number system is called binary. As presented earlier, there are many

More information

High Speed Special Function Unit for Graphics Processing Unit

High Speed Special Function Unit for Graphics Processing Unit High Speed Special Function Unit for Graphics Processing Unit Abd-Elrahman G. Qoutb 1, Abdullah M. El-Gunidy 1, Mohammed F. Tolba 1, and Magdy A. El-Moursy 2 1 Electrical Engineering Department, Fayoum

More information

VLSI for Multi-Technology Systems (Spring 2003)

VLSI for Multi-Technology Systems (Spring 2003) VLSI for Multi-Technology Systems (Spring 2003) Digital Project Due in Lecture Tuesday May 6th Fei Lu Ping Chen Electrical Engineering University of Cincinnati Abstract In this project, we realized the

More information

Architecture of an Asynchronous FPGA for Handshake-Component-Based Design

Architecture of an Asynchronous FPGA for Handshake-Component-Based Design 1632 PAPER Special Section on Reconfigurable Systems Architecture of an Asynchronous FPGA for Handshake-Component-Based Design Yoshiya KOMATSU a), Nonmember, Masanori HARIYAMA, Member, and Michitaka KAMEYAMA,

More information

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. 1 In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. I will also introduce the idea of a testbench as part of a design specification.

More information

DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS

DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS C H A P T E R 6 DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS OUTLINE 6- Binary Addition 6-2 Representing Signed Numbers 6-3 Addition in the 2 s- Complement System 6-4 Subtraction in the 2 s- Complement

More information

Sum to Modified Booth Recoding Techniques For Efficient Design of the Fused Add-Multiply Operator

Sum to Modified Booth Recoding Techniques For Efficient Design of the Fused Add-Multiply Operator Sum to Modified Booth Recoding Techniques For Efficient Design of the Fused Add-Multiply Operator D.S. Vanaja 1, S. Sandeep 2 1 M. Tech scholar in VLSI System Design, Department of ECE, Sri VenkatesaPerumal

More information

Mapping Control to Hardware

Mapping Control to Hardware C A P P E N D I X A custom format such as this is slave to the architecture of the hardware and the instruction set it serves. The format must strike a proper compromise between ROM size, ROM-output decoding,

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

Reconfigurable Spintronic Fabric using Domain Wall Devices

Reconfigurable Spintronic Fabric using Domain Wall Devices Reconfigurable Spintronic Fabric using Domain Wall Devices Ronald F. DeMara, Ramtin Zand, Arman Roohi, Soheil Salehi, and Steven Pyle Department of Electrical and Computer Engineering University of Central

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

Introduction to Asynchronous Circuits and Systems

Introduction to Asynchronous Circuits and Systems RCIM Presentation Introduction to Asynchronous Circuits and Systems Kristofer Perta April 02 / 2004 University of Windsor Computer and Electrical Engineering Dept. Presentation Outline Section - Introduction

More information

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits International Journal of Communication Engineering and Technology. ISSN 2277-3150 Volume 3, Number 1 (2013), pp. 13-22 Research India Publications http://www.ripublication.com Compact Clock Skew Scheme

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Novel Architecture for Designing Asynchronous First in First out (FIFO)

Novel Architecture for Designing Asynchronous First in First out (FIFO) I J C T A, 10(8), 2017, pp. 343-349 International Science Press ISSN: 0974-5572 Novel Architecture for Designing Asynchronous First in First out (FIFO) Avinash Yadlapati* and Hari Kishore Kakarla* ABSTRACT

More information

The 80C186XL 80C188XL Integrated Refresh Control Unit

The 80C186XL 80C188XL Integrated Refresh Control Unit APPLICATION BRIEF The 80C186XL 80C188XL Integrated Refresh Control Unit GARRY MION ECO SENIOR APPLICATIONS ENGINEER November 1994 Order Number 270520-003 Information in this document is provided in connection

More information