Reconfigurable Spintronic Fabric using Domain Wall Devices

Size: px
Start display at page:

Download "Reconfigurable Spintronic Fabric using Domain Wall Devices"

Transcription

1 Reconfigurable Spintronic Fabric using Domain Wall Devices Ronald F. DeMara, Ramtin Zand, Arman Roohi, Soheil Salehi, and Steven Pyle Department of Electrical and Computer Engineering University of Central Florida Orlando, FL December 20, 2014 We introduce a novel spintronic device and architecture to realize a reconfigurable fabric for super-high-performance computing at ultra-low power while providing greater resiliency that reconfiguration allows. Figure 1 shows characteristics of spintronic-based technologies and architectures along with their advantages and challenges. Spintronic devices such as Magnetic Tunnel Junction (MTJ) and Domain Wall Magnets (DWM) are proven for memory applications and we research their potential in non Von Neumann computation for improved energy and throughput [1].

2 Figure 1: Taxonomy of Nanocomputing Architectures highlighting advantages of proposed LIM approach. Introduction While spintronic-based neuromorphic architectures offer analog computation strategies [2], in this proposal we exploit reconfigurability and associative processing using a Logic-In-Memory (LIM) paradigm. LIM is compatible with conventional computing algorithms and integrates logical operations with data storage, making it an ideal choice for parallel SIMD operations to eliminate frequent accesses to memory, which are extreme contributors to energy consumption. Spin-based LIM architectures have the capability to increase computational throughput, reduce the die area, provide instant-on functionality, and reduce static power consumption [3]. Feasibility of a low power spintronic LIM chip has recently been demonstrated in [4] for database applications. As shown in Figure 2, in order to facilitate a variety of highly data parallel Air Force applications such as Image Processing, Weather Forecasting, Big Data Analysis, and Physics Simulations, we propose a novel reconfigurable fabric succeeding FPGAs to allow unprecedented gains in nanocomputation. Specifically, we will research 1) energy-efficient associative computing paradigms and 2) DW-based LIM reconfigurable fabric. 1

3 Figure 2: Non-Conventional Ultra Low Power Computing Architectures. DWM logic devices initially proposed in [5] have the potential to alleviate power consumption issues. Specifically, in [6] the analytical expressions for wall energy density (ε W ) is sub-linearly related by ε W = 2π AK and wall width (δ W ) is expressed by δ W = π AK, where A is the exchange constant, and K is the magnetic anisotropy constant. Domain Wall (DW) Racetrack Memory has been fabricated by IBM in 2011 [7]. Our team utilized DW racetrack memory to implement a power efficient GPGPU register file [8]. The results show that energy efficiency is significantly improved as shown in Figure 3. Although DW devices could provide the high speed switching necessary for LIM architecture, reliability issues still remain a major concern for DW logic. In order to enhance reliability and exploit associative processing, a novel design of the conventional racetrack array, called Domain Wall Nanomagnet-based Ladders (DWNL) is proposed. Figure 3: Parameters of DW Racetrack Memory for GPGPU register file [8]. 2

4 Reconfigurable Spintronic Fabric (RSF) Unlike fixed pre-determined computing architectures which have recently been researched, a more effective approach is to realize the entire spectrum of applications by designing a Reconfigurable Spintronic Fabric (RSF). As shown in Figure 5, the RSF is a 2D array of Configurable Logic In Memory Blocks (CLIMBs) comprised of an array of DWNL cells. The use of reconfiguration to address challenges of AFRL-related applications with low energy budgets while maintaining availability and resilience have been developed by our team in recent years [9-14]. Figure 4: (a) Domain Wall Nanomagnet-based Ladder (DWNL), (b) Reflexive Referencing Cell Operation Cycle 1, (c) Reflexive Referencing Cell Operation Cycle 2. Conclusion DWNL will be utilized in CLIMB arrays to store bits as spin magnetization direction of different domains separated by domain walls, which can be shifted along a magnetic nanowire with the last domain reserved for sensing. This novel Reflexive Referencing Cell consists of a 3

5 reference MTJ that has a common fixed and oxide layer with the last domain. Such a design has the potential to reduce the effect of cell-to-cell variation. Figure 4(a) delineates our proposed 2- cycle self-reflexive variation-tolerant reading scheme. Cycle 1 and Cycle 2 sense the reference and output respectively as shown in Figure 4(b) and 4(c). If the voltage from the second cycle is greater than the voltage from the first, the value is 1, and vice versa. Moreover, DWNL is intrinsically compatible with the associative computing instructions such as: shift, compare, and write. Figure 5: System Hierarchy of Nanocomputing Architecture: RSF, CLIMB, Ladder. Figure 5 shows the proposed computing architecture which provides the appropriate platform for ultra-low power data-intensive processing applications. The core populates the RSF DWNL cells with application data as well as writes the CLIMBs instruction memory with appropriate associate computing programs to perform the desired application. Only the final output data needs to be transmitted to the core. 4

6 References [1] Kim, Jongyeon, et al. "Spin-Based Computing: Device Concepts, Current Status, and a Case Study on a High-Performance Microprocessor." Proceedings of the IEEE 103.1, [2] Sharad, Mrigank, et al. "Energy-Efficient Non-Boolean Computing With Spin Neurons and Resistive Memory." IEEE Transactions on Nanotechnology, pp , [3] Zhang, Yue, et al. "Spintronics for low-power computing." Design, Automation and Test in Europe Conference and Exhibition (DATE), [4] Jarollahi, Onizawa, et al. "A Nonvolatile Associative Memory-Based Context-Driven Search Engine Using 90 nm CMOS/MTJ-Hybrid Logic-in-Memory Architecture." IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 4, no. 4, pp , [5] Allwood, Dan A., et al. "Magnetic domain-wall logic." Science, pp , [6] Tauxe, Lisa. Essentials of Paleomagnetism. Univ. of California Press, [7] Annunziata, A. J., et al. "Racetrack memory cell array with integrated magnetic tunnel junction readout." IEEE International Electronics Devices Meeting (IEDM), IEEE, [8] Mao, Mengjie, et al. "Exploration of GPGPU register file architecture using domain-wall-shiftwrite based racetrack memory." Design Automation Conference (DAC), IEEE, [9] N. Imran, R. F. DeMara, J. Lee, and J. Huang, "Self-adapting Resource Escalation for Resilient Signal Processing Architectures." Journal of Signal Processing Systems, [10] R. Al-Haddad, R. Oreifej, R. A. Ashraf, and R. F. DeMara, "Sustainable Modular Adaptive Redundancy Technique Emphasizing Partial Reconfiguration for Reduced Power Consumption." International Journal of Reconfigurable Computing, 25 pages, [11] M. Alawad, Y. Bai, R. F. DeMara, and M. Lin, Energy-Efficient Multiplier-Less Discrete Convolver through Probabilistic Domain Transformation. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp , [12] N. Imran and R. F. DeMara, Heterogeneous Concurrent Error Detection (hced) Based On Output Anticipation, in Proceedings of 2011 International Conference on Reconfigurable Computing and FPGAs, Cancun, Mexico, November 30, 2011 December 2, 2011, pp [13] N. Imran, J. Lee, Y. Kim, M. Lin, and R. F. DeMara, Fault-Mitigation by Adaptive Dynamic Reconfiguration for Survivable Signal-Processing Architectures, International Journal of Control and Automation, Volume 6, Number 2, Pages , April [14] R. F. DeMara, K. Zhang, and C. A. Sharma Autonomic Fault-Handling and Refurbishment Using Throughput-Driven Assessment, Applied Soft Computing, Volume 11, Issue 2, March 2011, pp

Analysis of ALU Designs Aim for Improvement in Processor Efficiency and Capability from

Analysis of ALU Designs Aim for Improvement in Processor Efficiency and Capability from Analysis of ALU Designs Aim f Improvement in Process Efficiency and Capability from 2-26 Linnette Martinez Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 3286-2362

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM, A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 3816-36

More information

ReSpace/MAPLD Conference Albuquerque, NM, August A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank

ReSpace/MAPLD Conference Albuquerque, NM, August A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank ReSpace/MAPLD Conference Albuquerque, NM, August 2011. A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank Naveed Imran and Ronald F. DeMara Department of Electrical Engineering

More information

Cache Memory Configurations and Their Respective Energy Consumption

Cache Memory Configurations and Their Respective Energy Consumption Cache Memory Configurations and Their Respective Energy Consumption Dylan Petrae Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 32816-2362 Abstract When it

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM)

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) 1/16 Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) Kui Cai 1, K.A.S Immink 2, and Zhen Mei 1 Advanced Coding and Signal Processing

More information

Adaptive Resilience Approaches for FPGA Fabrics

Adaptive Resilience Approaches for FPGA Fabrics Adaptive Resilience Approaches for FPGA Fabrics Ronald F. DeMara Department of Electrical and Computer Engineering University of Central Florida Orlando, Florida 32816-2362 E-mail: demara@mail.ucf.edu

More information

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy Revolutionizing Technological s such as and their Multiple Implementation in the Cache Level Hierarchy Michael Mosquera Department of Electrical and Computer Engineering University of Central Florida Orlando,

More information

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SPAA AWARE ERROR TOLERANT 32 BIT ARITHMETIC AND LOGICAL UNIT FOR GRAPHICS PROCESSOR UNIT Kaushal Kumar Sahu*, Nitin Jain Department

More information

Lecture 1: Introduction

Lecture 1: Introduction Contemporary Computer Architecture Instruction set architecture Lecture 1: Introduction CprE 581 Computer Systems Architecture, Fall 2016 Reading: Textbook, Ch. 1.1-1.7 Microarchitecture; examples: Pipeline

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Area-Efficient Fault-Handling for Survivable Signal-Processing Architectures

Area-Efficient Fault-Handling for Survivable Signal-Processing Architectures The 1st International Conference on Advanced Signal Processing 2012 Area-Efficient Fault-Handling for Survivable Signal-Processing Architectures Naveed Imran 1, Jooheung Lee* 2, Youngju Kim 2, Mingjie

More information

Hybrid STT CMOS Designs for Reverse engineering Prevention

Hybrid STT CMOS Designs for Reverse engineering Prevention Hybrid STT CMOS Designs for Reverse engineering Prevention Theodore Winograd George Mason University Hassan Salmani* Howard University Hamid Mahmoodi San Francisco State University Kris Gaj George Mason

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

International Journal of Modern Trends in Engineering and Research. Synthesis and Implementation of PLC on FPGA

International Journal of Modern Trends in Engineering and Research. Synthesis and Implementation of PLC on FPGA International Journal of Modern Trends in Engineering and Research www.ijmter.com e-issn No.:2349-9745, Date: 2-4 July, 2015 Synthesis and Implementation of PLC on FPGA Sonali Khairnar 1, Savita Sandip

More information

Analysis of Cache Configurations and Cache Hierarchies Incorporating Various Device Technologies over the Years

Analysis of Cache Configurations and Cache Hierarchies Incorporating Various Device Technologies over the Years Analysis of Cache Configurations and Cache Hierarchies Incorporating Various Technologies over the Years Sakeenah Khan EEL 30C: Computer Organization Summer Semester Department of Electrical and Computer

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

Feedback Techniques for Dual-rail Self-timed Circuits

Feedback Techniques for Dual-rail Self-timed Circuits This document is an author-formatted work. The definitive version for citation appears as: R. F. DeMara, A. Kejriwal, and J. R. Seeber, Feedback Techniques for Dual-Rail Self-Timed Circuits, in Proceedings

More information

Implementation of a FIR Filter on a Partial Reconfigurable Platform

Implementation of a FIR Filter on a Partial Reconfigurable Platform Implementation of a FIR Filter on a Partial Reconfigurable Platform Hanho Lee and Chang-Seok Choi School of Information and Communication Engineering Inha University, Incheon, 402-751, Korea hhlee@inha.ac.kr

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

Neurmorphic Architectures. Kenneth Rice and Tarek Taha Clemson University

Neurmorphic Architectures. Kenneth Rice and Tarek Taha Clemson University Neurmorphic Architectures Kenneth Rice and Tarek Taha Clemson University Historical Highlights Analog VLSI Carver Mead and his students pioneered the development avlsi technology for use in neural circuits

More information

FeRAM Circuit Technology for System on a Chip

FeRAM Circuit Technology for System on a Chip FeRAM Circuit Technology for System on a Chip K. Asari 1,2,4, Y. Mitsuyama 2, T. Onoye 2, I. Shirakawa 2, H. Hirano 1, T. Honda 1, T. Otsuki 1, T. Baba 3, T. Meng 4 1 Matsushita Electronics Corp., Osaka,

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM

An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM Bi Wu, Yuanqing Cheng,YingWang, Aida Todri-Sanial, Guangyu Sun, Lionel Torres and Weisheng Zhao School of Software Engineering

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

An Autonomic Architecture for Organically Reconfigurable Computing Systems

An Autonomic Architecture for Organically Reconfigurable Computing Systems An Autonomic Architecture for Organically Reconfigurable Computing Systems Brian S. Stensrud, Michael J. Quist Soar Technology, Inc. 3361 Rouse Road, Suite #175 Orlando, FL 32817 407-207-2237 x222 {stensrud,quist}@soartech.com

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

A Survey of Imprecise Signal Processing

A Survey of Imprecise Signal Processing A Survey of Imprecise Signal Processing Karan Daei-Mojdehi School of Electrical Engineering and Computer Science, University of Central Florida Orlando, Florida, United States k.mojdehi@knights.ucf.edu

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Computing with Spintronics: Circuits and architectures

Computing with Spintronics: Circuits and architectures Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2014 Computing with Spintronics: Circuits and architectures Rangharajan Venkatesan Purdue University Follow this

More information

Computing-in-Memory with Spintronics

Computing-in-Memory with Spintronics Computing-in-Memory with Spintronics Shubham Jain 1, Sachin Sapatnekar 2, Jian-Ping Wang 2, Kaushik Roy 1, Anand Raghunathan 1 1 School of Electrical and Computer Engineering, Purdue University 2 Department

More information

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS

A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS A REVIEW ON INTEGRATION OF SPIN RAM IN FPGA CIRCUITS Parth Dhall, Ruchi Varshney Department of E&C Engineering, Moradabad Institute of Technology, Moradabad, Uttar Pradesh, India ABSTRACT In this paper,

More information

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management H. Tan and R. F. DeMara Department of Electrical and Computer Engineering University of Central Florida

More information

Efficient Self-Reconfigurable Implementations Using On-Chip Memory

Efficient Self-Reconfigurable Implementations Using On-Chip Memory 10th International Conference on Field Programmable Logic and Applications, August 2000. Efficient Self-Reconfigurable Implementations Using On-Chip Memory Sameer Wadhwa and Andreas Dandalis University

More information

Design-For-Diversity for Improved Fault-Tolerance of TMR Systems on FPGAs

Design-For-Diversity for Improved Fault-Tolerance of TMR Systems on FPGAs 2011 International Conference on Reconfigurable Computing and FPGAs Design-For-Diversity for Improved Fault-Tolerance of TMR Systems on FPGAs Rizwan A. Ashraf, Ouns Mouri, Rami Jadaa and Ronald F. DeMara

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Intelligent Memory and Storage Vertical Research Center Sean Eilert Fellow Micron Technology High Level Overview Conventional Bottlenecks

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

International Journal of Information Research and Review Vol. 05, Issue, 02, pp , February, 2018

International Journal of Information Research and Review Vol. 05, Issue, 02, pp , February, 2018 International Journal of Information Research and Review, February, 2018 International Journal of Information Research and Review Vol. 05, Issue, 02, pp.5221-5225, February, 2018 RESEARCH ARTICLE A GREEN

More information

A Configurable Multi-Ported Register File Architecture for Soft Processor Cores

A Configurable Multi-Ported Register File Architecture for Soft Processor Cores A Configurable Multi-Ported Register File Architecture for Soft Processor Cores Mazen A. R. Saghir and Rawan Naous Department of Electrical and Computer Engineering American University of Beirut P.O. Box

More information

Figure 1. An 8-bit Superset Adder.

Figure 1. An 8-bit Superset Adder. Improving the Adder: A Fault-tolerant, Reconfigurable Parallel Prefix Adder Kyle E. Powers Dar-Eaum A. Nam Eric A. Llana ECE 4332 Fall 2012 University of Virginia @virginia.edu ABSTRACT

More information

EMERGING NON VOLATILE MEMORY

EMERGING NON VOLATILE MEMORY EMERGING NON VOLATILE MEMORY Innovative components for neuromorphic architecture Leti, technology research institute Contact: leti.contact@cea.fr Neuromorphic architecture Brain-inspired computing has

More information

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM

Design and Implementation of Low Power LUT Based on Nonvolatile RRAM Design and Implementation of Low Power LUT Based on Nonvolatile RRAM K.Nagaraju Department of ECE, VLSI & ES, Prakasam Engineering College, Kandukuru, Prakasam Dt, A.P. Dr.Ch.Ravi Kumar HOD, Department

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

Software Pipelining for Coarse-Grained Reconfigurable Instruction Set Processors

Software Pipelining for Coarse-Grained Reconfigurable Instruction Set Processors Software Pipelining for Coarse-Grained Reconfigurable Instruction Set Processors Francisco Barat, Murali Jayapala, Pieter Op de Beeck and Geert Deconinck K.U.Leuven, Belgium. {f-barat, j4murali}@ieee.org,

More information

The Effect of Temperature on Amdahl Law in 3D Multicore Era

The Effect of Temperature on Amdahl Law in 3D Multicore Era The Effect of Temperature on Amdahl Law in 3D Multicore Era L Yavits, A Morad, R Ginosar Abstract This work studies the influence of temperature on performance and scalability of 3D Chip Multiprocessors

More information

By Charvi Dhoot*, Vincent J. Mooney &,

By Charvi Dhoot*, Vincent J. Mooney &, By Charvi Dhoot*, Vincent J. Mooney &, -Shubhajit Roy Chowdhury*, Lap Pui Chau # *International Institute of Information Technology, Hyderabad, India & School of Electrical and Computer Engineering, Georgia

More information

Copyright 2012, Elsevier Inc. All rights reserved.

Copyright 2012, Elsevier Inc. All rights reserved. Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Brent Hollosi 1, Tao Zhang 2, Ravi S. P. Nair 3, Yuan Xie 2, Jia Di 1, and Scott Smith 3 1 Computer Science &

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances Jim Handy Objective Analysis Tom Coughlin Coughlin Associates The Market is at a Nexus PM 2 Emerging Memory Technologies MRAM: Magnetic

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

In-memory computing with emerging memory devices

In-memory computing with emerging memory devices In-memory computing with emerging memory devices Dipartimento di Elettronica, Informazione e Bioingegneria Politecnico di Milano daniele.ielmini@polimi.it Emerging memory devices 2 Resistive switching

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy Outline. Solid-state disk (SSD) Storage class memory (SCM)

Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy Outline. Solid-state disk (SSD) Storage class memory (SCM) Beyond NVMs Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy ielmini@elet.polimi.it Outline Storage applications Solid-state disk (SSD) Storage class memory (SCM) Logic applications: Crossbar

More information

ADVANCES IN PROCESSOR DESIGN AND THE EFFECTS OF MOORES LAW AND AMDAHLS LAW IN RELATION TO THROUGHPUT MEMORY CAPACITY AND PARALLEL PROCESSING

ADVANCES IN PROCESSOR DESIGN AND THE EFFECTS OF MOORES LAW AND AMDAHLS LAW IN RELATION TO THROUGHPUT MEMORY CAPACITY AND PARALLEL PROCESSING ADVANCES IN PROCESSOR DESIGN AND THE EFFECTS OF MOORES LAW AND AMDAHLS LAW IN RELATION TO THROUGHPUT MEMORY CAPACITY AND PARALLEL PROCESSING Evan Baytan Department of Electrical Engineering and Computer

More information

A Self-Configuring TMR Scheme utilizing Discrepancy Resolution

A Self-Configuring TMR Scheme utilizing Discrepancy Resolution 211 International Conference on Reconfigurable Computing and FPGAs A Self-Configuring TMR Scheme utilizing Discrepancy Resolution Naveed Imran and Ronald F. DeMara Department of Electrical Engineering

More information

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

This material is based upon work supported in part by Intel Corporation /DATE13/ c 2013 EDAA

This material is based upon work supported in part by Intel Corporation /DATE13/ c 2013 EDAA DWM-TAPESTRI - An Energy Efficient All-Spin Cache using Domain wall Shift based Writes Rangharajan Venkatesan, Mrigank Sharad, Kaushik Roy, and Anand Raghunathan School of Electrical and Computer Engineering,

More information

Embedded Systems. Octav Chipara. Thursday, September 13, 12

Embedded Systems. Octav Chipara. Thursday, September 13, 12 Embedded Systems Octav Chipara Caught between two worlds Embedded systems PC world 2 What are embedded systems? Any device that includes a computer (but you don t think of it as a computer) iphone digital

More information

Mitigating Process Variability for Non-Volatile Cache Resilience and Yield

Mitigating Process Variability for Non-Volatile Cache Resilience and Yield Mitigating Process Variability for Non-Volatile Cache Resilience and Yield Soheil Salehi, Navid Khoshavi, and Ronald F. DeMara Department of Electrical and Computer Engineering, University of Central Florida,

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

SF-LRU Cache Replacement Algorithm

SF-LRU Cache Replacement Algorithm SF-LRU Cache Replacement Algorithm Jaafar Alghazo, Adil Akaaboune, Nazeih Botros Southern Illinois University at Carbondale Department of Electrical and Computer Engineering Carbondale, IL 6291 alghazo@siu.edu,

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Dynamic Partial Reconfigurable FIR Filter Design

Dynamic Partial Reconfigurable FIR Filter Design Dynamic Partial Reconfigurable FIR Filter Design Yeong-Jae Oh, Hanho Lee, and Chong-Ho Lee School of Information and Communication Engineering Inha University, Incheon, Korea rokmcno6@gmail.com, {hhlee,

More information

L3/L4 Multiple Level Cache concept using ADS

L3/L4 Multiple Level Cache concept using ADS L3/L4 Multiple Level Cache concept using ADS Hironao Takahashi 1,2, Hafiz Farooq Ahmad 2,3, Kinji Mori 1 1 Department of Computer Science, Tokyo Institute of Technology 2-12-1 Ookayama Meguro, Tokyo, 152-8522,

More information

EECS4201 Computer Architecture

EECS4201 Computer Architecture Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis These slides are based on the slides provided by the publisher. The slides will be

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

M.TECH VLSI IEEE TITLES

M.TECH VLSI IEEE TITLES 2016 2017 M.TECH VLSI IEEE TITLES S.NO TITLES DOMAIN 1 A Fixed-Point Squaring Algorithm Using an Implicit Arbitrary Radix Number System 2 An Improved Design of a Reversible Fault Tolerant LUT-Based FPGA

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

FPGA Based Intelligent Co-operative Processor in Memory Architecture

FPGA Based Intelligent Co-operative Processor in Memory Architecture FPGA Based Intelligent Co-operative Processor in Memory Architecture Zaki Ahmad 1, Reza Sotudeh 2, D. M. Akbar Hussain 3, Shahab-ud-din 4 1 Pakistan Institute of Laser and Optics, Rawalpindi, Pakistan

More information

Resolving Load Balancing Issue of Grid Computing through Dynamic Approach

Resolving Load Balancing Issue of Grid Computing through Dynamic Approach Resolving Load Balancing Issue of Grid Computing through Dynamic Er. Roma Soni M-Tech Student Dr. Kamal Sharma Prof. & Director of E.C.E. Deptt. EMGOI, Badhauli. Er. Sharad Chauhan Asst. Prof. in C.S.E.

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

An Overload-Free Data-Driven Ultra-Low-Power Networking Platform Architecture

An Overload-Free Data-Driven Ultra-Low-Power Networking Platform Architecture An Overload-Free Data-Driven Ultra-Low-Power Networking Platform Architecture Shuji SANNOMIYA 1, Yukikuni NISHIDA 2, Makoto IWATA 3, and Hiroaki NISHIKAWA 1 1 Faculty of Engineering, Information and Systems,

More information

Designing digital circuits for FPGAs using parallel genetic algorithms (WIP)

Designing digital circuits for FPGAs using parallel genetic algorithms (WIP) Designing digital circuits for FPGAs using parallel genetic algorithms (WIP) Rizwan A. Ashraf, Francis Luna, Damian Dechev and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

Computation-oriented Fault-tolerance Schemes for RRAM-based Computing Systems

Computation-oriented Fault-tolerance Schemes for RRAM-based Computing Systems Computation-oriented Fault-tolerance Schemes for RRAM-based Computing Systems Wenqin Huangfu 1, Lixue Xia 1, Ming Cheng 1, Xilin Yin 1, Tianqi Tang 1, Boxun Li 1, Krishnendu Chakrabarty 2, Yuan Xie 3,

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier U.V.N.S.Suhitha Student Department of ECE, BVC College of Engineering, AP, India. Abstract: The ever growing need for improved

More information

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies VLSI IMPLEMENTATION OF HIGH PERFORMANCE DISTRIBUTED ARITHMETIC (DA) BASED ADAPTIVE FILTER WITH FAST CONVERGENCE FACTOR G. PARTHIBAN 1, P.SATHIYA 2 PG Student, VLSI Design, Department of ECE, Surya Group

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System 3D Hetero-Integration Technology for Future Automotive Smart Vehicle System Kangwook Lee, Ph.D Professor, NICHe, Tohoku University Deputy Director, Global INTegration Initiative (GINTI) Kangwook Lee, Tohoku

More information

A Proposal for a High Speed Multicast Switch Fabric Design

A Proposal for a High Speed Multicast Switch Fabric Design A Proposal for a High Speed Multicast Switch Fabric Design Cheng Li, R.Venkatesan and H.M.Heys Faculty of Engineering and Applied Science Memorial University of Newfoundland St. John s, NF, Canada AB X

More information

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Overview Why is power a problem? What can FPGAs do? Are we safe

More information

Reliable Physical Unclonable Function based on Asynchronous Circuits

Reliable Physical Unclonable Function based on Asynchronous Circuits Reliable Physical Unclonable Function based on Asynchronous Circuits Kyung Ki Kim Department of Electronic Engineering, Daegu University, Gyeongbuk, 38453, South Korea. E-mail: kkkim@daegu.ac.kr Abstract

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information