A Fast Johnson-Mobius Encoding Scheme for Fault Secure Binary Counters

Size: px
Start display at page:

Download "A Fast Johnson-Mobius Encoding Scheme for Fault Secure Binary Counters"

Transcription

1 Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, A Fast Johnson-Mobius Encoding Scheme for Fault Secure inary Counters K. Papadomanolakis, A. Kakarountas, N. Sklavos and C.E. Goutis. Electrical and Computer Engineering epartment University of Patras, Patras, GREECE Abstract In this paper a novel encoding architectural scheme for high performance Fault-Secure synchronous binary s that are relies on the Johnson Mobius coding scheme, is introduced. This is based on the Parity prediction technique and it can detect any single fault, transient or permanent, in real-time. A thorough analysis of the s architecture and its behavior at the occurrence of any single fault on the circuit to ensure the Fault-Secure property of the, is presented. Also a comparison of this technique against other dominating fault secure implementations is presented in terms of area overhead, power dissipation and performance. The deviated results show the benefits that can be derived from the use of this scheme.. Introduction In the most recent years a continuously increasing need for on-line testability in the digital electronic circuits has been developed. Several techniques that improve the reliability of digital systems have been developed in order to detect errors on line. Generally, the design of highly reliable and safe systems leads to the use of additional hardware and/or software overhead (safety mechanisms). The required safety level for the target application determines the needed overhead. This safety level imposes the use of safety mechanisms that can offer error detection up to a certain level during the system s operation. Conventional technology employs double or multichannelled architectures (e.g. two microcontrollers) which continuously compare their data, in order to detect faults in a system. This solution, although high efficiently in error detection, leads to high demands in hardware and power. New design methods for on-line error detection are based on the use of coding techniques to obtain redundant information able to detect transient and permanent faults in a significantly lower cost [,,,,5]. These methods have created the class of self-checking circuits and systems. Self-checking design is based on the implementation of functional blocks, which deliver outputs belonging to an error detecting code. A checker is used to monitor this code, which performs error detection concurrent with the normal circuit operation [6]. This checker has the ability to give an error indication even when a fault occurs on the checker itself. Since it is relatively uncommon for multiple faults in a circuit to occur at the same time instant, the basic requirement of a self-checking circuit is to detect any single fault in the circuit before any second one occurs. In this way the Totally Self-Checking (TSC) property is ensured []. Most recently researchers have been drawn to the conclusion that parity prediction techniques are the most appropriate techniques for handling arithmetic operators [] since they require little area overhead in comparison to the most efficient but complex and area costing arithmetic residue codes (such as erger, CRC and n-outof-m codes). The design of highly reliable and safety systems leads to the use of additional Power dissipation that has become a critical VLSI design concern in recent years. The wide spread of portable and wireless communication systems increase the demand for the extension of the battery life. Portable systems are usually met in medical applications where they are used for continuously providing certain services to patients. The basic requirement of the portable medical equipment industry is the demand for highly safe operation (fail-safe systems []), in order to prevent any harm to the patient due to the system failures (according to the IN VE standards [9]), while keeping the power dissipated low and satisfying the real-time system constraints. One of the most commonly found components in this kind of applications is the counting unit, either in the form of a timer or as a state machine. The basic property that a counting unit must have is high performance. Fast s are components of great importance in safety critical applications because of the time critical aspect of these systems operation. The basic properties that a fast must have are most carefully summarized in []. These are in short: i) a high counting rate, preferably independent of the size, ii) a binary output that

2 Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, can be read on-the-fly, iii) a sampling rate equal to the counting rate, and iv) a regular implementation suitable for VLSI. As stated in [8] an especially simple implementation for the design of a fault-secure binary is the synchronous implementation, made of T FFs. However, the synchronous operation of such a makes reading the value difficult (because the whole has to be stable) and reduces the counting rate, especially for large s. In this paper an implementation of a faultsecure binary based on the Johnson-Mobius [7] encoding scheme that complies to the desired properties referenced above, is presented. In the following paragraphs, this is examined in comparison to the simple Fault-Secure Parity-Prediction binary [8] and to the conventional approach (double ). The area, power and performance measurements for this implementation as well as its effectiveness in fault detection are determined. Prior to examining the FS binary presented, a simple reference to the Fault- Secure theory is presented.. Fundamental theory on Fault -Secure circuits Self-checking circuits are used to ensure concurrent error detection for on-line testing by means of hardware redundancy. This kind of circuits can detect the presence of both transient and permanent faults. All these circuits aim at the so-called totally self-checking goal; i.e. the first erroneous output of the functional block provokes an error indication on the checker outputs. To achieve this goal checkers have been defined to be TSC [6,] and they have to be combined with TSC or Strongly Fault Secure (SFS) functional circuits. The terminology of the safety properties of a circuit is following, in order to provide the ability to understand terms as the ones above. A circuit G is called fault-secure (FS) with respect to a fault set F if, for each f F, when the circuit never produces an incorrect output codeword for any input codeword. The above two properties, the self-testing and the fault-secure, when combined in a circuit, characterize it as totally self-checking (TSC). The meaning of the codeword is strongly related with the code-disjoint property, which will be defined later on. The Fault Secure circuits (FS) are parts of a greater group called Self Checking circuits (SC). A Self -Checking circuit is consisted of a functional unit, which produces encoded output vectors plus a checker unit, which checks these vectors to determine if an error has occurred. This checker also has the ability to produce an error indication even if a fault has occurred in the checker itself. y the term fault we imply an abstract view for all classifiable defects of the hardware, e.g. stuck-at, stuckopen and stuck-on faults. On the other hand errors are defined as the erroneous pattern shown at the output of the circuit in the presence of physical abnormality. All the SC circuits and checkers have been defined theoretically as follows[]:. A circuit is called Fault-Secure with respect to a fault set F, if and only if for every single fault and for all code word inputs the circuit will never produce an incorrect code word output.. A circuit is called Self-Testing with respect to a fault set F, if and only if each fault in F can be detected by at least one code word input.. A circuit is called Totally Self-Checking if it satisfies both and definitions. As a conclusion we can determine if a circuit is fault secure if its output code detects all errors generated by each modeled fault A circuit G is called strongly fault-secure (SFS), with respect to a fault set F, if for every fault in F, either: ) G is self-testing and fault-secure or, ) G is fault-secure, and if another fault from F occurs in G, then, for the obtained multiple fault, Case or is true. The above definitions are the properties, in terms of safe operation, of a circuit. Also, for the fault-secure circuits, a hypothesis is made for multiple errors, all along this paper. When an error is present in a circuit, a second one is possible to appear, after enough time, so the first one has been detected. This hypothesis may seem convenient but it is fully realistic. It is very hard that two errors appear simultaneously. When an error appears, the circuit should detect it, in order to be self-checking. Many codes can be used for the design of selfchecking circuits. For the design case of the binary we are interested only in the parity prediction code. The parity code is the least redundant error detection code and requires only one check bit indicating the parity of the information part. It can be directly applied to any bit-sliced circuit. The structure of a selfchecking circuit can be found in [5]. This work considers implementations using parity prediction techniques, with the use of standard cells because they are becoming predominant in industrial context and also can be easily automated through the use of a HL.. The bit binary coded Johnson-Mobius module Counter units are used vastly for the implementation of timers in microprocessors and microcontrollers. In fact timer units are non-stop s, so in order to design a safety critical application one of the most critical components, which has to work continuously and provide non-erroneous output is the unit. This leads to the

3 SET Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, conclusion that in order for a system to be Totally-Self- Checking the units (included in it) must be implemented so that they comply with the TSC goal (they have to be fault-secure). This goal can be achieved also through the use of a double structure but this would lead to increased hardware and power costs. For the implementation of a N bit binary coded design based on the Johnson-Mobius encoding, a bit Johnson-Mobius coded will be used. As can be seen in Fig. a simple bit Johnson-Mobius encoded can give up to 8 states. This means that in order to produce a bit binary output (which has 6 states), we need to diversify the circuit a bit. This modification is shown in Fig., and gives 6 counting states by including an extra T Flip-Flop. SET SET SET Fig.. bit Johnson-Mobius coded SET T SET SET SET SET SET Preset Reset Clock Preset Fig.. Modified bit Johnson-Mobius coded with 6 counting states The 5 bit modified Johnson-Mobius coded output of the circuit illustrated in Fig., can give through proper modifications, a bit simple binary output that can be accessed by any architectural component of a processor on-the-fly. y using this modified bit Johnson-Mobius unit we can implement binary units that have a bitwidth multiple of (,8,,6, ). This bit module was chosen because in order to implement Johnson-Mobius s with more counting states the overall hardware would increase excessively (since every Reset Clock extra -FF in the circuit adds just two more counting states). In this design the binary implementation is of the synchronous type. Every next bit inary output modified Johnson-Mobius module (that is used to implement the following bits of the binary ) is triggered each time the sequence is scanned in the previous Johnson-mobius s output.. Fault Secure Johson-Mobius coded binary As referenced above the fault-secure binary implementation that is considered in this paper is based on parity prediction. This allows it to be compatible with the self-checking data-paths and components that are based on parity prediction algorithms, and are widely spread amongst the computer designing society []. This solution allows us to achieve low hardware cost since parity codes use only a single bit overhead.. Johnson-Mobius Parity prediction scheme In order to predict the parity of the output of the bit modified Johnson-Mobius, we must take under consideration the fact that the Johnson-Mobius encoding scheme is a Gray-like coding scheme. This means that every state transition flips the parity of the output. This observation, plus the fact that for the modified Johnson- Mobius module the parity changes with every state transition, except for those that happen every 8 th clock cycle (modulo 8), lead to the parity prediction scheme illustrated in Fig.. 5 bit modified J-M module To ecoder T Esimated Parity To TRC Predicted Parity Fig.. Parity Prediction scheme for the Modified bit Johnson-Mobius coded As it can seen easily the predicted parity derives from a T FF which is enabled to change state every clock cycle except for the one that the sequence is. Of course the predicted parity from the scheme in Fig. is Pest Ppr

4 S E T C L R J K S E T C L R Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, not the total parity prediction for the binary output, after the decoding. The total parity prediction can easily derive from the equation: P = ( + + () in_ pr ) The Predicted Parity and the inversion of the estimated parity in Fig., plus the predicted parity in equation () and the inverted parity of the binary output are driven to a Two-Rail Checker (TRC) [6]. This TRC uses the values and for the correct operation indication and values and for the error operation indication. It has to be noted that the initial value of the T flip/flop must correspond to the parity of the initial state of the JM.. The N bit Johnson-Mobius FS In order to achieve high fault coverage the bit module based on the Johnson-Mobius Code must be designed to be fault secure. That means that under any single fault that might happen during operation, the errors generated on the outputs ( bit binary output) are single and thus are detected by the parity code. For presentation purposes the 8 bit FS parity prediction Johnson-Mobius encoded binary will be examined. The whole implementation scheme for this is illustrated in Fig., in order to indicate the certain modifications that are implemented to attain the Fault secure property for this design. 5 bit modified J-M module ECOER to inary - bits inary bit Johnson-Mobius module T Pbin EST. Two Rail Checker (TRC) nd T FF nd JM 5 bit modified J-M module ECOER to inary -7 bits inary T Pbin EST. Two Rail Checker (TRC) Fig.. 8 bit Johnson-Mobius FS binary architectural scheme The 8 bit implementation scheme for the proposed is constructed from two identical bit Johnson- Mobius encoded modules, with some logic in between them. The purpose of this logic is primary to enable the nd module only whenever this is needed. Using this enabling circuitry is the reason for the increased performance that this presents, since the parts past the first bits module remain stable during this first module s transition. This is related to the fact that each next module is changing state whenever the previous state of the preceding module is. In simple words the next module s state transition does not depend on the concurrent transition of the preceding JM module, but only from its previous transition. Thus for larger s the whole circuit remains stable during transitions, which increases the counting rate making this proposed architecture preferable for high performance safety critical applications. The disadvantage of this in-between logic, is that (along with the decoding units and extra parity estimator circuits for these units) it introduces a big hardware overhead. Also because of the Johnson-Mobius to inary decoder the overall dynamic power dissipation of the circuit is increased in general, but this increase is somewhat compromised by the fact that the Johnson- Mobius encoding is a Gray-like encoding technique. We know that for an n bit binary we have a total number of states that is equal to n. That means that the average number of bit transitions per state is: n n n n n n n which can be easily extracted by a simple observation of the inary coding. From Eq. () above the average number of bit transitions per state for a n bit binary is: n = / () = () Thus for a simple 8 bit binary the average number of bit transitions per stage is (in the Flip/Flops). On the other hand for the 8 bit Johnson-Mobius encoded FS binary, the average number of bit transitions per state is,5 bit transitions per state. This can be easily extracted from the fact that we have one bit transition per state for 7 cycles and two bit transitions every 8 th cycle giving us the total number of 88 bit transitions for 56 states/cycles. Following, the whole architectural scheme will be examined, in order to determine if this complies with the constraints that are imposed from the Fault- Secure theory. This study will prove the fault-secureness of the proposed design. 5. Fault-Secure analysis In the following paragraphs the fault-secure property of the proposed will be analyzed. It is shown that all errors, provoked by possible single faults in the circuit, can be detected.

5 Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, 5. Faults in the modified JM module When a single fault occurs at the output of a flip/flop, in the modified 5 bit JM module shown in Fig., during a state or during the state transition, it is propagated through the gates to the estimated parity output P est for the Johnson Mobius encoding scheme as illustrated in Fig.. Then the derived estimated parity is compared with the predicted parity P pr which is produced through the totally independent parity prediction structure (with the separate T FF and logic), and in case of an error we have fault detection. If on the other hand a fault affects the input of a flip/flop, then after one or more clock cycles, depending on when the effectof this fault will lead to an error at the output of the flip/flop, it will be detected as in the previous case. Following the same methodology, if a single fault happens, during operation, on the parity prediction circuit (either the nand or and gates or on the T flip/flop) an error is propagated to party prediction output and it is detected from the comparison with the estimated parity. 5. Faults on the ecoder In order to examine the fault secureness of the ecoder circuit the equations that transform the 5 bit modified Johnson-Mobius encoding scheme to the simple bit inary representation. These equations are given below (note that is the inary output and M is the output of the modified Johnson-Mobius module): = M = M = M = M M M + M M () As can be seen from the equations above and by taking under consideration the theorem presented in [], which states that: Theorem : Parity prediction in an odd-cell fan-out circuit, achieves fault secureness for any single ermanent or transient fault, if this can be detected before the occurrence of a second fault. According to this theorem, and by taking under consideration the fact that each input of the Parity prediction circuit of the decoder (whose function is stated in equation ()), drives exactly two cells (gates so it does not affect the oddity of the total fan-out of these signals, since the same signals are used at least once in the ecoder circuit), the only input signal that seems to have an even number of cells to drive is the M. oubling the gate that gives as the signal, and then inverting the second output can solve this small and problem. Then the two signals ( ) are compared through a TRC circuit. After this modification if a single fault occurs in the ecoder circuits input this will propagate to one of the decoder output signals, and this will alter the parity of the binary output. This in turn will lead to the detection of the error occurrence after the comparison wit the predicted parity of the inary output. Similarly if a single fault occurs in the Parity prediction circuit this will be detected after the comparison of the predicted parity with the output parity as shown in Fig.. 5. Faults in the s If a single fault occurs on a gate of a, it is propagated to a single parity output and is detected. A fault, during a clock cycle, on the output of the of gates which derives the parity of the 5 bit modified JM can be detected through the comparison with the predicted parity stored in the T flip/flop, since this crcuit operates independently to the unit. 5. Faults on the enabling circuits As referenced in the description of the s architecture every next module is enabled if the modified JM module s output is. This statement of course y itself introduces a fallacy. If a fault occurred on the output of this enable decoding circuit, the next module would never be enabled to count, and the parity prediction circuit s output would remain in its initial value. Thus the error caused would never be detected. To avoid this erroneous situation we have implemented two separate enabling circuits, one for the parity prediction circuit (T flip/flop) and one for the JM mo dule. Of course if both enabling circuits were triggered by the same JM module s output ( ) the problem would remain. For this reason the second enabling circuit is taking as input, the output of the bit binary representation of the previous module (thus if an error has occurred in the previous module s decoder it would have been detected) and it is triggered when the sequence appears in it. 6. Experimental results We have developed parameterized VHL descriptions to present the fault-secure parity prediction Johnson- Mobius encoded binary implementation that can generate various sizes for this. The numerical results that were obtained are from mapping the produced from CA utilities netlist to the AMS (Austria Micro 5

6 Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, Systems) standard cell library for.6-µm CMOS technology. In order to provide a better comparison between the proposed implementation and the simple FS binary presented in [8] the simple synchronous binary and the conventional FS (doubling technique) were realized as well. These designs serve as reference for the measurement of the overhead that is presented in area, power and performance. The results that arise are presented in Tables and for the fault -secure augh-wooley and ooth multipliers respectively. Note that the worst case delay signal is the Parity P s of the results of the multipliers, while the results themselves have a slight increased delay than those derived from the non fault-secure versions of the multipliers. In tables to the comparison between the three fault secure s that were implemented (the J-M encoded binary, the simple FS binary and the doubled ) is presented in terms of integration area, power dissipation and performance (time delay). The Mentor graphics Leonardo Spectrum utility at the version.b is used for the measurement of the chip area and performance, and the values that are provided by the tool are normalized to the non fault-secure binary implementation. For the measurements of the Power dissipated the Synopsys CA framework at the version 999. is used, and the values taken are also normalized to the non-secure binary. The designs are implemented for bitwidths of, 8, 6, and 6 bits. Table. Comparison of the three FS s in terms of integration area Counter type bit 8 bit 6 bit bit 6 bit FS binary ouble binary JM FS Table. Comparison of the three FS s in terms of power dissipation Counter type bit 8 bit 6 bit bit 6 bit FS binary ouble binary JM FS Table. Comparison of the three FS s in terms of performance (delay time) Counter type bit 8 bit 6 bit bit 6 bit FS binary ouble binary JM FS Some obvious conclusions can be derived from the tables above concerning the comparison of the three Fault-secure s. If integration area is the main design issue in the implementation of a safety critical application, then it seems tat he simple FS binary proposed in [8] is the most suitable selection, for all bitwidths. This does not mean that the JM proposed lacks greatly in comparison to the simple FS. On the other hand as it derives from table, the simple FS is better sited for Low Power safety critical applications since it is by far the least power dissipating, in comparison to the other FS designs. In the comparison illustrated in table, the main asset that the proposed Johnson-Mobius FS binary has is presented. The obvious conclusion that is extracted is that the proposed is by far the fastest when compared to the other fault-secure designs. In fact for large bitwidths, it is even faster than the simple non fault-secure binary. This s difference in terms of performance (/delay) can be seen more clearly in fig. 5 below.,8,6,,,8,6,, Performance (/delay) bitwidth (# bits) simple FS JM FS Fig. 5. Performance comparison between a) simple FS binary and b) JM FS binary 6

7 Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, Of course the values used for the comparison presented in fig. 5 are also normalized to the simple nonsecure synchronous binary. From this figure we see that the proposed architecture has by far higher performance. In fact as the size grows the performance factor is tripling when compared to the simple FS binary presented in [8]. 7. Conclusions In this paper a fast fault-secure Johnson-Mobius encoded inary is presented, which is based on the parity-prediction technique and leads to high fault coverage. The whole architecture ensures the Fault-Secure property with the use of the parity prediction units that were previously described. The integrated area, power and performance requireme nts of this implementation were presented in comparison to other safe binary s such as the double and the simple Fault Secure Parity Prediction. The extracted results shown that for little area and power overhead, the proposed presents much better performance, when compared with the other FS designs. In fact its performance is even better that the simple non-secure synchronous binary and almost triple (for the 6 bit implementation the performance is better by 67%) to the fault secure binary presented in [8], as the s size increases. 8. References [] M. Nicolaidis, Fail-Safe Interfaces for VLSI: Theoretical Foundations and Implementation, IEEE Transactions on Computers, Vol. 7, pp. 6-77, Jan [] Niraj K. Jha, Sying-Jyan Wang, esign and Synthesis of Self-Checking VLSI Circuits, IEEE Tranaction on Computers, Vol, No 6, pp , June 99. [] M. Nicolaidis, R. O. uarte, S. M. J. Figueras, Fault- Secure Parity Prediction Arithmetic Operators IEEE esign & Test of Computers Magazine, pp. 6-7, Apr- Jun [] R. O. uarte, M. Nicolaidis, H. edder, Y. Zorian, Fault- Secure Shifter esign: Result and Implementations European esign and Test Conference, (E&TC), 997. [5] P. Kakaroudas, K. S. Papadomanolakis, E. Karaolis, S. Nicolaidis, C. E. Goutis, Hardware/Power Requirements versus Fault etection Effectiveness in Self-Checking Circuits, Proc. of Patmos 99, pp [6]. Nikolos, A. M. Paschalis, G. Philokyprou, Efficient esign of Totally Self-Checking Checkers for all Low-Cost Arithmetic Codes, IEEE Trans. On Comp., vol. 7, no. 7, pp. 87-8, July 998. [7] M. Morris Mano, igital esign, Prentice-Hall Inc., 99. [8] E. Karaolis, S. Nikolaidis, C.E. Goutis, Fault Secure inary Counter esign, Proc. of ICECS 99,vol III, pp [9] IN V VE 8 Principles for computers in safetyrelated systems, 99. []. Chu, Phase digitizing sharpens timing measurements, IEEE Spectrum, pp. 8-, July

On-Line Error Detecting Constant Delay Adder

On-Line Error Detecting Constant Delay Adder On-Line Error Detecting Constant Delay Adder Whitney J. Townsend and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin whitney and jaa @cerc.utexas.edu Parag K. Lala

More information

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Omar Kebichi *, Yervant Zorian**, Michael Nicolaidis* * Reliable Integrated Systems Group, TIMA / INPG, 46 avenue Félix Viallet 38031

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

Carry Checking/Parity Prediction Adders and ALUs

Carry Checking/Parity Prediction Adders and ALUs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 1, FEBRUARY 2003 121 Carry Checking/Parity Prediction Adders and ALUs Michael Nicolaidis Abstract In this paper, we present

More information

Defect Tolerance in VLSI Circuits

Defect Tolerance in VLSI Circuits Defect Tolerance in VLSI Circuits Prof. Naga Kandasamy We will consider the following redundancy techniques to tolerate defects in VLSI circuits. Duplication with complementary logic (physical redundancy).

More information

Model EXAM Question Bank

Model EXAM Question Bank VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI Department of Information Technology Model Exam -1 1. List the main difference between PLA and PAL. PLA: Both AND and OR arrays are programmable

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Hardware Design Methods Nov 2007 Self-Checking Modules Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing)

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

IMPLEMENTATION OF A FAST MPEG-2 COMPLIANT HUFFMAN DECODER

IMPLEMENTATION OF A FAST MPEG-2 COMPLIANT HUFFMAN DECODER IMPLEMENTATION OF A FAST MPEG-2 COMPLIANT HUFFMAN ECOER Mikael Karlsson Rudberg (mikaelr@isy.liu.se) and Lars Wanhammar (larsw@isy.liu.se) epartment of Electrical Engineering, Linköping University, S-581

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

Performance Evaluation of a Novel Direct Table Lookup Method and Architecture With Application to 16-bit Integer Functions

Performance Evaluation of a Novel Direct Table Lookup Method and Architecture With Application to 16-bit Integer Functions Performance Evaluation of a Novel Direct Table Lookup Method and Architecture With Application to 16-bit nteger Functions L. Li, Alex Fit-Florea, M. A. Thornton, D. W. Matula Southern Methodist University,

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

Self-Checking Fault Detection using Discrepancy Mirrors

Self-Checking Fault Detection using Discrepancy Mirrors Manuscript to Appear in the 2005 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 05), June 2005, Las Vegas, Nevada. Copyright and all rights therein are

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part III. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part III First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Decoders Multiplexers Registers Shift Registers Binary Counters Memory

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

EDA Tool Development to Support the Design and. Certification of Fail-Safe Products

EDA Tool Development to Support the Design and. Certification of Fail-Safe Products EDA Tool Development to Support the Design and Certification of Fail-Safe Products F.M. Gonçalves, M.B. Santos, I.C. Teixeira and J.P. Teixeira IST / INESC-ID, Rua Alves Redol, 9, 1000-029 Lisboa, Portugal

More information

CRYPTOGRAPHIC devices are widely used in applications

CRYPTOGRAPHIC devices are widely used in applications 1036 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 6, JUNE 2012 Secure Multipliers Resilient to Strong Fault-Injection Attacks Using Multilinear Arithmetic Codes Zhen Wang,

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator A.Sindhu 1, K.PriyaMeenakshi 2 PG Student [VLSI], Dept. of ECE, Muthayammal Engineering College, Rasipuram, Tamil Nadu,

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

11. SEU Mitigation in Stratix IV Devices

11. SEU Mitigation in Stratix IV Devices 11. SEU Mitigation in Stratix IV Devices February 2011 SIV51011-3.2 SIV51011-3.2 This chapter describes how to use the error detection cyclical redundancy check (CRC) feature when a Stratix IV device is

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

Self Checking Systolic FIFO Stack

Self Checking Systolic FIFO Stack Self Checing Systolic FFO Stac HUDA B. ABUGHARSA Higher nstitute of ndustry Musrita, LBYA AL H. MAAMAR Higher nstitute of Electronics Beni Waled, LBYA ali_h_maamar@yahoo.co.u Abstract : The advances in

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

A Macro Generator for Arithmetic Cores

A Macro Generator for Arithmetic Cores A Macro Generator for Arithmetic Cores D. Bakalis 1,2, M. Bellos 1, H. T. Vergos 1,2, D. Nikolos 1,2 & G. Alexiou 1,2 1 Computer Engineering and Informatics Dept., University of Patras, 26 500, Rio, Greece

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams On the Design of High Speed Parallel CRC Circuits using DSP Algorithams 1 B.Naresh Reddy, 2 B.Kiran Kumar, 3 K.Mohini sirisha 1 Dept.of ECE,Kodada institute of Technology & Science for women,kodada,india

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Low-Cost Software-Based Self-Testing of RISC Processor Cores

Low-Cost Software-Based Self-Testing of RISC Processor Cores 1530-1591/03 $17.00 2003 IEEE Low-Cost Software-Based Self-Testing of RISC Processor Cores N. Kranitis 1 G. Xenoulis 2 D. Gizopoulos 2 A. Paschalis 1 Y. Zorian 3 1 Department of Informatics & Telecom.

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER.

DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER. DIRECTORATE OF TECHNICAL EDUCATION DIPLOMA IN ELECTRICAL AND ELECTRONICS ENGINEERING II YEAR M SCHEME IV SEMESTER 2015 2016 onwards DIGITAL ELECTRONICS CURRICULUM DEVELOPMENT CENTRE Curriculum Development

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI DEPARTMENT: ECE MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 621213 QUESTION BANK SUBJECT NAME: DIGITAL ELECTRONICS SEMESTER III SUBJECT CODE: EC2203 UNIT 5 : Synchronous and Asynchronous Sequential

More information

Logic Synthesis of Multilevel Circuits with Concurrent Error Detection

Logic Synthesis of Multilevel Circuits with Concurrent Error Detection IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 7, JULY 1997 783 [16] Layout synthesis benchmark set, Microelectronics Center of North Carolina, Research Triangle

More information

Dependability tree 1

Dependability tree 1 Dependability tree 1 Means for achieving dependability A combined use of methods can be applied as means for achieving dependability. These means can be classified into: 1. Fault Prevention techniques

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Challenges in Verification of Clock Domain Crossings

Challenges in Verification of Clock Domain Crossings Challenges in Verification of Clock Domain Crossings Vishnu C. Vimjam and Al Joseph Real Intent Inc., Sunnyvale, CA, USA Notice of Copyright This material is protected under the copyright laws of the U.S.

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

88 Dugald Campbell. Making Industrial Systems Safer Meeting the IEC standards

88 Dugald Campbell. Making Industrial Systems Safer Meeting the IEC standards 88 Dugald Campbell Making Industrial Systems Safer Meeting the IEC 60730 standards Introduction With the introduction of the International Electrotechnical Commission s IEC 60730 standards series, household

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

Design of Low Power Digital CMOS Comparator

Design of Low Power Digital CMOS Comparator Design of Low Power Digital CMOS Comparator 1 A. Ramesh, 2 A.N.P.S Gupta, 3 D.Raghava Reddy 1 Student of LSI&ES, 2 Assistant Professor, 3 Associate Professor E.C.E Department, Narasaraopeta Institute of

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

DE Solution Set QP Code : 00904

DE Solution Set QP Code : 00904 DE Solution Set QP Code : 00904 1. Attempt any three of the following: 15 a. Define digital signal. (1M) With respect to digital signal explain the terms digits and bits.(2m) Also discuss active high and

More information

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Israel Koren Department of Electrical and Computer Engineering Univ. of Massachusetts, Amherst, MA collaborating with Luca Breveglieri,

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Markus Rudack Dirk Niggemeyer Laboratory for Information Technology Division Design & Test University of Hannover

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier Vivek. V. Babu 1, S. Mary Vijaya Lense 2 1 II ME-VLSI DESIGN & The Rajaas Engineering College Vadakkangulam, Tirunelveli 2 Assistant Professor

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Design of Delay Efficient Carry Save Adder

Design of Delay Efficient Carry Save Adder Design of Delay Efficient Carry Save Adder K. Deepthi Assistant Professor,M.Tech., Department of ECE MIC College of technology Vijayawada, India M.Jayasree (PG scholar) Department of ECE MIC College of

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information