EDA Tool Development to Support the Design and. Certification of Fail-Safe Products

Size: px
Start display at page:

Download "EDA Tool Development to Support the Design and. Certification of Fail-Safe Products"

Transcription

1 EDA Tool Development to Support the Design and Certification of Fail-Safe Products F.M. Gonçalves, M.B. Santos, I.C. Teixeira and J.P. Teixeira IST / INESC-ID, Rua Alves Redol, 9, Lisboa, Portugal {fernando.goncalves, marcelino.santos, jct}@inesc-id.pt Corresponding author: Fernando Gonçalves Address: INESC-ID Rua Alves Redol, Lisboa Portugal Phone: Fax: fernando.goncalves@inesc-id.pt

2 EDA Tool Development to Support the Design and Certification of Fail-Safe Products F.M. Gonçalves, M.B. Santos, I.C. Teixeira and J.P. Teixeira IST / INESC-ID, Rua Alves Redol, 9, Lisboa, Portugal {fernando.goncalves, marcelino.santos, jct}@inesc-id.pt Abstract New product development for safety-critical products is a challenging process, due to stringent quality and time-to-market requirements. In particular, such products undergo a certification process, prior to product market release. One of the bottlenecks of the design process for these products is the lack of commercially available EDA (Electronic Design Automation) tools, as this is a limited segment market. The purpose of this paper is to introduce a novel fault simulator tool, tfs, developed to support the design and certification process of Gas Burner Control Systems (GBCS), which must be EN298 standard compliant. The new tool performs fault simulation in the presence of single or double faults, either Stuck- At (SA) or bridging (BRI) faults, and performs timing analysis of critical output waveforms under faulty conditions. A new fault classification is introduced to automatically identify a reduced subset of potentially critical faults, which must be analyzed to verify if any unsafe device operation may occur. The new tool can be directly inserted in the design flow using Cadence EDA system. The usefulness and efficiency of the new tool are ascertained in the support of the certification process of a new ASIC implementing a novel fail-safe solution for a GBCS. Simulation results show that no unsafe operation occurs under the EN298 stated conditions. Keywords: Fail-safe, Fault simulation, Multiple faults 1

3 1 Introduction In modern societies, human life is priceless. Consequently, the safe operation of all equipments (electrical, mechanical, etc.) is a major concern. Each country or economic region impose their safety requirements. New products have thus to be evaluated by a recognized Certifying Institution, prior to market release. Such Institution must check whether a new product complies, or not, to the safety standard specific for that type of equipment. This may introduce a heavy burden in product costs and time-to-market. Redundancy is a well-known, and easiest to implement, solution to increase system safety. In microelectronics, this solution has been widely used, in part due to the lack of Electronic Design Automation (EDA) commercial tools oriented to safety-critical product development. However, redundancy increases costs, reducing product competitiveness. Therefore, new solutions have to be introduced, using innovative design techniques. Moreover, the demonstration of fail-safe properties in non fully redundant architectures requires the development of new EDA tools to validate those designs. If these two developments are not performed in parallel, the delay in timeto-market may compromise product profitability. Ideally, any safety technique should be validated analytically. For a self-checking technique [1,2,3,4,5,6,7] this statement applies solely to the validation of single faults. When the technique has to be validated for double faults, it is frequent to rely on the assumption that, after some clock cycles, an invalid state is reached and the checker will detect the corresponding non-codeword [2]. Unfortunately, this solution is not appropriate when the safety requirements specify a maximum delay for fault detection. Gas burner control systems have to comply the EN298 European safety standard [8]. The safety requirements imposed by this standard are really stringent. In particular, safe operation has to be guaranteed in the presence of two simultaneous faults. One of the most appropriate solutions to avoid redundancy is the self-checking technique. But, unfortunately, this technique cannot be validated analytically for double faults, neither there are commercially available software tools (fault simulators) for double faults. 2

4 The purpose of this paper is to present a new fault simulator tool, tfs, specially developed for the validation of a safety-critical Application Specific Integrated Circuit (ASIC) implementing a gas burner control system which complies the EN298 standard. The ASIC design has already been described in [9]. This paper is organized as follows. The next section summarizes the safety requirements imposed by the EN298 standard. The specifications of the fault simulator are presented in Section 3. Section 4 describes the architecture of the new fault simulator. The command line options are detailed in Section 5. The most relevant implementation details are presented in Section 6. Next section is devoted to the presentation of the computational requirements (operating system, time, memory and disk). Section 8 describes the main blocks of the ASIC used to ascertain the usefulness and efficiency of the new tool. The results obtained by the new fault simulator are presented in Section 9. Finally, Section 10 summarizes the main conclusions. 2 Safety Requirements Automatic Gas Burner Control Systems (GBCS) marketed in the European Union have to be EN298 [8] standard compliant. This standard defines that the system is fail-safe whenever the presence of a first fault leads to one of the following situations: 1. The system disables all critical outputs 1 in less than 3 s; 2. The system remains operational (Fault Tolerant). In this case, a second fault must be inserted. The system must remain operational or deassert the critical outputs in less than 3 s. The ASIC designed to implement the GBCS controls the main system functions. Hence, the safety requirements for the ASIC must also conform the above mentioned situations. The internal failure modes for complex devices (such as ASICs) are not clearly stated in EN298. Therefore, the classical single line Stuck-At (SA) fault model for digital circuits was used as a first approach. However, realistic faults, like Bridging (BRI) and open faults, may trigger unsafe operation. Hence, more accurate fault models 1 Critical outputs are defined as the system outputs whose logic values may cause harm caused by system operation. In the case of gas burner control systems, system outputs controlling the gas valve are considered as critical. 3

5 need to be used, and are one of the reasons for the new fault simulator development. At present, BRI fault models are implemented in tfs. 3 Specifications of the Fault Simulator The fault simulation specifications to validate EN298 compliance differ from the classical in the following two aspects: 1. Simulation in the presence of two simultaneous faults, either SAs or BRIs. 2. Only the critical outputs have to be monitored. Moreover, only dangerous situations (unintentionally critical output activation for more than 3 s) are relevant. As a consequence, the observation of the timing responses, in the presence of one or two faults is required. The classical fault simulation results (the Fault Coverage (FC), and a list of detected/undetected faults) are not suitable for the present validation purposes. Fault Coverage is relevant, in order to demonstrate that the selected test pattern is able to activate a different system behavior between the faulty and the fault-free device. However, FC serves as a test quality indicator, not a safe-operation indicator. In fact, for instance, a given fault can be detected (be in charge of observable output differences) due to the deassertion of a critical output (nondangerous behavior), but it can also be detected if it asserts a critical signal, which should be deasserted in normal operation (dangerous behavior). Hence, a new fault classification concept has to be introduced to distinguish between these two opposite situations. The following fault classification, composed by three fault classes, is proposed and has been used: Tolerant: the fault free circuit and the faulty circuit present identical simulation results. Safe: the critical output is enabled later than expected, and/or the critical output is shut off earlier than in the fault-free circuit. Potentially Critical: the critical output is enabled earlier than expected, and/or the critical output is shut off later than in the fault-free circuit. 4

6 The Tolerant and Safe fault classes do not require any further analysis. The presence of tolerant faults may trigger test pattern refinement, in the unlikely case in which not all the tolerant faults are redundant 2. On the contrary, the Potentially Critical faults should be carefully analyzed. 4 Architecture of the Fault Simulator A software tool, tfs, which copes the specifications stated in the previous section has been developed. At present, a serial fault simulation technique is being used [10]. The tool performs faults injection in a Verilog structural description, at logic level, and uses the Verilog TM logic simulator from Cadence as kernel simulator. The fault simulation results allow fault classification, accordingly to the above mentioned classes. The architecture of the developed fault simulator is presented in Figure 1. The Fault Activator process reads a gate-level structural description of the circuit in Verilog format, and a list of cells whose behavior should be modified in order to activate a fault. A modified Verilog file is generated after the activation of a fault. Following the generation of each modified Verilog file, the Verilog TM logic simulator from Cadence is invoked. This simulator reads 3 files: the modified Verilog description, a Verilog file containing the test patterns, and a cell library. The cell library file includes the behaviors of all cells instantiated in the Verilog description. For normal operation, these behaviors are fault-free ones. However, the simulation of SA faults is based on the modification of the instances, whose faulty behavior is also defined in this cell library. On the contrary, the BRI fault activation is not based on behavioral modifications of the cells. Hence, no particular data needs to be included in the cell library to simulate this kind of faults. The Fault Classifier process performs the classification task presented in the previous section by comparing the results of a fault-free simulation (previously saved in a file and loaded into memory at startup), with the results of the present fault simulation. Additionally to a comparison of the critical outputs status, a timing 2 In the case study, the use of self-checking techniques makes the majority of tolerant faults redundant in respect to the critical outputs under monitoring. 5

7 analysis is also performed. This analysis intends to identify the extent of the dangerous situations, violating the EN298 safety requirement of unintentional critical output activation for more than 3 s. 5 Fault Simulator Options The available options for the tfs fault simulator are: -b performs bridging (BRI) fault simulation, instead of the default Stuck-At (SA) fault simulation. -c counts the number of faults, without performing the fault simulation. This option is useful to determine the size of the fault list, eventually requiring a sampling process to drive the fault simulation to a manageable computer effort. -d performs double fault simulation, instead of the default single fault simulation. -l <save file> when the simulator is interrupted, by pressing Ctr-C, a file is automatically saved with the current simulation status. To later restart the simulation, this option must be used, and the <save file> name must be supplied. -n <fault file> this option is used for the validation of double faults, using item 2. of the safety requirements (see section 2). The <fault file> should be obtained by a previous single fault simulation. All faults classified as Tolerant will be resimulated by adding another fault. -r <sample> simulates a sample of the whole fault set. 6

8 Fault classification results Fault-free simulation Fault simulation results Cell library Test patterns Verilog file (structural) Faulty cells Fault Activator Modified Verilog File Verilog Simulator Fault Classifier Figure 1: Architecture of the tfs fault simulator. 6 Implementation Details The implementation of the Fault Activator process is far more complex than the implementation of the other processes. Hence, this section is mainly devoted to the implementation details of that process. In order, to achieve a better performance, the original Verilog file is read only at startup. The circuit description is loaded into appropriate data structures. The other input file required by the Fault Activator process, the Faulty cells file, is also read once at startup. 7

9 According to the command line options chosen by the user (see section 5), the Fault Activator process injects a new fault (or a pair of faults) each time it is invoked. For each cycle, a modified structural description (in Verilog format) is generated, the Verilog TM simulator is invoked and the Fault Classifier process is applied to obtain fault simulation results. A flowchart of the tfs fault simulator is presented in Figure 2. The blocks within the dashed area correspond to external functionalities, provided by the Cadence Verilog TM simulator. According to the fault classification presented in section 3, the identification of Safe and Potentially Critical faults requires a timing analysis. The Fault Classifier process performs this task. Therefore, the results of the fault-free and the faulty simulations have to include timing information. The major differences between the simulation of SA and BRI faults occur in the Fault Activator process. Thus, each type of fault model has a dedicated subsection for its specific implementation details. 6.1 Stuck-At Fault Model The SA faults activation is controlled by the contents of the Faulty cells file (see Figure 1). Each line of this file contains a cellname followed by a list of possible faulty cellnames. During the Fault Activator scanning through the instances list, when any cellname matches an instance in the Verilog file, that cellname is replaced by one of the faulty cellnames. Then, the fault simulation and fault classification are performed for this fault. In the next simulation cycle, the cellname is replaced by the next faulty cellname. This process is repeated until the list of faulty cellnames reaches the last entry in the line. The syntax for each line of the Faulty cells file is as follows: cellname: faultycellname1 faultycellname2 In theory, each cell can have an unlimited number of possible faulty behaviors. The major drawbacks of a large number of faults per cell is a huge computation time. Additionally, there is a prerequisite that all faultycellname behaviors have to be previously inserted in the cell library to be used by the Cadence simulator. Hence, using the proposed technique, the fault activation is restricted to cells included in the Faulty cells file and, for those cells, the type of faults are restricted to the built-in faulty behaviors. 8

10 Cadence Verilog Simulator Begin Read cell library Read Verilog file Read modified Verilog file Read faulty cells file Read test patterns file Read fault-free simulation file Simulate End No More faults to activate? Write simulation results Yes Read simulation results Activate new fault Perform fault classification Write modified Verilog file Write fault classification results Figure 2: Flowchart of the tfs fault simulator. This technique presents a major advantage over a hardcoded implementation of the SA fault model. In fact, it allows the activation of any fault that can be modeled locally by the replacement of a fault-free cell by a new defective cell. Moreover, this approach can be extended to handle the concept of macro cells, which enables the simulation of larger circuits. The concept of macro cell can be easily implemented without modifying the fault simulator source code. The macro cell behavior and the faulty behaviors of the macro cell have to be added to the cell library. A new line should also be included in the Faulty cells file, and the modifications are concluded. Macro cells may justify the mixed-level fault simulation, due to the drastic simulation effort reduction. 9

11 6.2 Bridging Fault Model When the BRI fault model is selected, the Faulty cells file is only used to identify the cells for which the fault activation will be performed. During the scan through the list of instances, whenever an instance cell matches any cellname of the Faulty cells file, the output node of this instance will be shorted to the output node of another instance. Hard bridgings (zero resistance) are assumed. The same procedure is used to choose this second instance, except that the list of instances is searched from the point where the first instance was found. This procedure reduces the number of faults, by avoiding the activation of redundant BRIs (a BRI between nodes A and B is activated only once, instead of a A-B BRI and a B-A BRI). The bridging fault model is a key point. An accurate modeling using, for instance, the voting, or the bias voting fault models [11,12], can be applied only when the transistors sizes are known. However, this information is not available in the majority of the cases. Hence, each BRI is modeled by the four possible situations: I. Node A dominates node B II. Node B dominates node A III. The resulting node is A wired-and B IV. The resulting node is A wired-or B Together, these situations model the impact of any possible bridging defect on the behavior of a digital circuit. The increased number of faults (four bridging faults per short between two nodes) is the disadvantage of this solution. To implement situations I. and II., every reference to the dominated node in the Verilog file is replaced by the dominator node. Situations, III. and IV. are applied to the Verilog file by inserting an AND gate or an OR gate, respectively. The inputs of the logical gate are the nodes A and B, and their output is connected to a new node. This node merges all branches earlier driven by nodes A and B. The application of this fault model to the simulation of mixed structural descriptions (macro cells and basic gates) is straightforward. The inclusion of the macro cells names in the Faulty cells file is the required modification. 10

12 7 Analysis of the Computational Resources for Fault Simulation Typically the major concern for fault simulation is the computational time. For the new fault simulator, tfs, this is no exception. The computational time value is highly dependent on the circuit complexity, on the fault model (SA or BRI) and on the type of fault simulation (single faults or double faults). Table 1 presents the computational effort as a function of the number of cells, n. Compared to the global computational time, the initialization of the data structures (read data from files) can be neglected. SA fault model BRI fault model Single faults 2n 2n(n-1) Double faults 2n(n-1) 2n 2 (n-1) 2-4n(n-1) Table 1: Time complexity dependence on the number of cells, n, for the simulation of SA and BRI faults (single and double fault simulations). The memory requirement is also a function of the circuit complexity. However, the figures are irrelevant for current memory sizes. For instance, the case study presented in this paper (Section 8) required approximately 1.5Mbytes of RAM in a SUN Ultra 10 machine. A summary of the simulation results is stored for each fault. Therefore, the disk space is linear with the number of simulated faults. The Cadence Verilog TM simulator is only available for UNIX platforms. Thus, at present, the tfs fault simulator is also restricted to these platforms, namely, for SUN Solaris operating systems. The tool has been successfully tested for Solaris versions ranging from 2.5 to Case Study: ASIC for Gas Burner Control System The ASIC functionally used in the gas burner control system only includes one critical output signal. However, in order to deal with two simultaneous BRI faults between output pins, triple redundancy of the critical signal has been inserted [9]. Additionally, a different activation type has been assigned to each of the critical outputs (see Table 2). The external circuitry enables the critical device (a transducer) solely when all output signals are enabled. 11

13 Signal CtrOut1 CtrOut2 CtrOut3 Enable Conditions Pulsed Pulsed (opposite phase) Active low Table 2: Conditions to enable the external critical device. Without safety concerns, the main blocks of the ASIC are a Finite State Machine (FSM) and several timers. The FSM implementation is based on a self-checking technique. It includes two main combinational blocks responsible for the generation of the next state and the outputs, named NS_block and O_block, respectively. As no self-checking timers are available, a triple redundancy solution was used for this functionality. Some extra blocks have been added to detect the internal faults (checker blocks) and to disable the critical output signals [9]. The ASIC has been described in behavioral VHDL language. A synthesized Verilog structural description has been used for fault simulation purposes. The structural description contains 1,513 gates. 9 Results The presented results comprise a large variety of fault analyses (single and double SA, and single and double BRI). The Certifying Institution suspicious on the fail-safe properties implemented in the ASIC has imposed this wide range of fault analysis. The presented results are based on the monitoring of the critical outputs only. Thus, a large number of Tolerant faults are identified because many faulty behaviors are not observable at the critical outputs. 9.1 Single Stuck-At Fault Analysis Applying the stuck-at fault model to the output nodes of all logic gates, 2,818 faults were obtained. Based on simulation results, the faults were distributed over the three classes ( Tolerant, Safe, or Potentially critical ). The obtained results are depicted in Table 3. 12

14 Class Number of faults Tolerant 1, % Safe 1, % Pot. critical % Total 2, % Table 3: Single SA fault simulation results The "Tolerant" and "Safe" faults do not need further analysis, because both behaviors are allowed by the EN298 standard. The number of "Potentially critical" faults is very limited (84 faults). Furthermore, only 11 different behaviors have been identified. After re-simulation and detailed analysis of the state transitions, none of those behaviors produces dangerous situations. Most of them (53 out of 84) are faults associated to the timers, usually triggering a premature time-out. Consequently, a state transition occurs too early. If the new state enables the critical output signal, then this is identified as a potentially critical situation. However, reduced time-out does not ever introduce any dangerous behavior. 9.2 Double Stuck-At Fault Analysis A large number of double faults have been identified: 3,967,065 faults combinations. The analysis of such a large set of faults is unpractical. Hence, several fault samples have been generated. Results for a sample size of 18,039 faults (0.45%) are presented in Table 4. Similar results are obtained with larger fault samples. Class Number of faults Tolerant 4, % Safe 12, % Pot. critical % Total 18, % Table 4: Double SA fault simulation results for a 0.45% sample size As it can be seen, Potentially Critical faults represent again a small percentage of the sampled faults (3.72%). In the presence of Potentially Critical faults, a large number of different behaviors (115) has been observed. 13

15 Fortunately, 5 of these behaviors represent 480 faults (the majority of Potentially Critical faults) (71.4%). Detailed analyses do not reveal a single unsafe behavior. The self-checking techniques have been applied to the most critical blocks, namely the NS_block and O_block. However, the detection of dangerous situations, induced by double-faults has to be ascertained by simulation. The results of a complete double-fault analysis for the NS_block and O_block are presented in Table 5 and 6. As expected, a large percentage of "Safe" faults was obtained. This is a direct effect of the self-checking technique applied to those blocks. All potentially critical situations are induced by a combination of a SA1 fault and a SA0 fault. The 222 potentially critical faults in the NS_block can be mapped into 24 different behaviors. None of these behaviors leads to unsafe operation. Class Number of faults Tolerant 18, % Safe 195, % Pot. critical % Total 214, % Table 5: Double SA fault simulation results for NS_block The O_block does not present any potentially critical behavior (see Table 6). Class Number of faults Tolerant 2, % Safe 31, % Pot. critical % Total 34, % Table 6: Double SA fault simulation results for O_block 9.3 Single Bridging Fault Analysis The occurrence of some critical shorts has been inquired by the Certifying Institution. In fact, the SA model is unable to uncover some likely physical defects [13]. Therefore, BRI fault simulation has also been performed. 14

16 The BRI faults were injected between all pairs of gate outputs. The circuit has 1,513 gates, leading to 1,143,828 faults. Using the above mentioned fault model, 4,575,312 fault simulations have to be performed. The validation was performed on a small size sample (see Table 7) and no unsafe operation was identified. Similar results are obtained with other fault samples. Class Number of faults Tolerant 1, % Safe 2, % Pot. critical % Total 4, % Table 7: Single BRI fault simulation results 9.4 Double Bridging Fault Analysis Assuming the BRI fault model described in section 6.2 (4 faults per BRI defect), the combination of two faults leads to 16 combinations for each pair of BRIs. The number of double BRI faults is approximately 1x Obviously, the simulation of the complete fault set is unfeasible. A reduced sample of BRI faults were simulated and the results are shown in Table 8. Again, no unsafe operation was detected. Class Number of faults Tolerant % Safe 1, % Pot. critical % Total 2, % Table 8: Double BRI fault simulation results 10 Conclusions Fail-safe products require the use of an adequate set of EDA tools in the design flow. For gas burner control systems, EN298 standard applies in the European Union. As adequate fault simulators for these products certification are not commercially available, a fault simulator, tfs, has been developed and presented in this 15

17 paper. A novel fault classification has been introduced to enable the identification of a limited subset of potentially critical faults. The simulation of single and double faults, either Stuck-at faults or Bridging faults can be performed with the new tfs tool, which can be used directly with Cadence EDA system. The fault insertion algorithm used for SA fault modeling allows the activation of all fault models that can be modeled locally by the replacement of a single fault-free cell by a new defective cell. These specifications turns it into an extremely effective tool for validation of any fail-safe or fault tolerant architecture whose safety requirements impose a safe behavior when two simultaneous faults may occur in the system. The primary intend for this development was the validation of the fail-safe solution that was being implemented in an ASIC for a gas burner control system. Consequently, the case study used to validate the usefulness and efficiency of the software tool was such ASIC. The results clearly highlight its effectiveness to solve this kind of validation problems. The use of the tfs simulator played a major role in persuading the Certifying Institution of the compliance of the product under development to the EN298 standard. The presented results include simulations of single and double SA faults, and single and double BRI faults. Typically the validation of safety critical systems cannot be based in the detection/no detection technique used in standard fault simulators. Hence, a fault classification has been proposed and the correspondent process has been implemented. This process identifies the compliance, or not, to the EN298 standard. The crucial point is solely the inappropriate activation of critical outputs. The fault simulation results have clearly shown that the ASIC is fail-safe. However, the circuit is too large for an exhaustive analysis of all fault combinations, namely BRI faults. Whenever the expected computational time is too large, the fault sampling option was used. References [1] Niraj K. Jha, Sying-Jyan Wang, Design and Synthesis of Self-Checking VLSI Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, no. 6, pp , June

18 [2] Niraj K. Jha, Sandip Kundu, Testing and Reliable Design of CMOS Circuits, Kluwer Academic Publishers, [3] J.F. Wakerly, Error Detecting Codes, Self-Checking Circuits and Applications, North-Holland, [4] M. Nicolaidis, Fault Secure Property Versus Strongly Code Disjoint Checkers, IEEE Transactions on Computer-Aided Design (CAD), vol. 13, nº. 5, pp , May [5] C. Metra, M. Favalli, P. Olivo, B. Ricco, Design of CMOS Checkers with Improved Testability of Bridging and Transistor Stuck-on Faults, Journal of Electronic Testing: Theory and Applications (JETTA), vol. 6, pp. 7-22, Feb [6] Ch. Zeng, N. Saxena, E.J. McCluskey, Finite State Machine Synthesis with Concurrent Error Detection, Proc. Int. Test Conf. (ITC), pp , [7] S. Mitra, E.J. McCluskey, Which Concurrent Error Detection Scheme to Choose?, Proc. Int. Test Conf. (ITC), pp , [8] EN 298 Automatic Gas Burner Control Systems for Gas Burners and Gas Burning Appliances with or without Fans, European Committee for Standardization, October [9] F.M. Gonçalves, M.B. Santos, I.C. Teixeira, J.P. Teixeira, Design and Test of a Certifiable ASIC for Safety-critical Gas Burners Control System, accepted for publication in Journal of Electronic Testing, Theory and Application (JETTA), vol.18, Kluwer Academic Publishers, [10] Michael L. Bushnell, Vishwani D. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, Kluwer Academic Publishers, [11] P.C. Maxwell, R.C. Aitken, Biased Voting: A Method for Simulating CMOS Bridging Faults in the Presence of Variable Gate Logic Thresholds, Proc. Int. Test Conf. (ITC), pp , [12] J.M. Acken, S.D. Millman, Accurate Modeling and Simulation of Bridging Faults, Proc. Custom Integrated Circuits Conference (CICC), pp , [13] E.J. McCluskey, Ch.-W. Tseng, Stuck-Fault Tests vs. Actual Defects, Proc. Int. Test Conf. (ITC), pp ,

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1

Outline. Definition. Targeted Defects. Motivation GOAL. Ferhani, RATS/SPRING , Center for Reliable Computing 1 RATS (Reliability and Testability Seminar) Diagnosis of Defects Introducing Voltage Dependences between Nodes By François-Fabien Ferhani 5/27/2003 Ferhani, RATS/SPRING03 Outline Introduction Problems &

More information

VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs

VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs VHDL Fault Simulation for Defect-Oriented Test and Diagnosis of Digital ICs F. Celeiro, L. Dias, J. Ferreira, M.B. Santos, J.P. Teixeira INESC / IST, Apartado 13069, 1017 Lisboa Codex, Portugal jct@spirou.inesc.pt

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Self-Checking Fault Detection using Discrepancy Mirrors

Self-Checking Fault Detection using Discrepancy Mirrors Manuscript to Appear in the 2005 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 05), June 2005, Las Vegas, Nevada. Copyright and all rights therein are

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

A Fast Johnson-Mobius Encoding Scheme for Fault Secure Binary Counters

A Fast Johnson-Mobius Encoding Scheme for Fault Secure Binary Counters Proceedings of esign, Automation and Test in Europe, (ATE'), France, March -8, A Fast Johnson-Mobius Encoding Scheme for Fault Secure inary Counters K. Papadomanolakis, A. Kakarountas, N. Sklavos and C.E.

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX s Abstract While previous research has focused on deterministic testing of bridging faults, this paper studies pseudo-random testing of bridging faults and describes a means for achieving high fault coverage

More information

On-Line Error Detecting Constant Delay Adder

On-Line Error Detecting Constant Delay Adder On-Line Error Detecting Constant Delay Adder Whitney J. Townsend and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin whitney and jaa @cerc.utexas.edu Parag K. Lala

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

A Fault Model for VHDL Descriptions at the Register Transfer Level *

A Fault Model for VHDL Descriptions at the Register Transfer Level * A Model for VHDL Descriptions at the Register Transfer Level * Abstract This paper presents a model for VHDL descriptions at the Register Transfer Level and its evaluation with respect to a logic level

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Basic Concepts of Reliability

Basic Concepts of Reliability Basic Concepts of Reliability Reliability is a broad concept. It is applied whenever we expect something to behave in a certain way. Reliability is one of the metrics that are used to measure quality.

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines M. Ottavi, G. C. Cardarilli, D. Cellitti, S. Pontarelli, M. Re, A. Salsano Department of Electronic Engineering University

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION

HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION Gert Jervan, Zebo Peng Linköping University Embedded Systems Laboratory Linköping, Sweden Olga Goloubeva, Matteo Sonza Reorda, Massimo Violante Politecnico

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Synchronization In Digital Systems

Synchronization In Digital Systems 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Synchronization In Digital Systems Ranjani.M. Narasimhamurthy Lecturer, Dr. Ambedkar

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Jing Zeng yz, Magdy S. Abadir y, Jayanta Bhadra yz, and Jacob A. Abraham z y EDA Tools and Methodology, Motorola

More information

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani DESIGN AND TESTABILITY OF Z-TERNARY CONTENT ADDRESSABLE MEMORY LOGIC Keerthiga Devi S. 1, Bhavani, S. 2 Department of ECE, FOE-CB, Karpagam Academy of Higher Education (Deemed to be University), Coimbatore,

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

Capturing and Formalizing SAF Availability Management Framework Configuration Requirements

Capturing and Formalizing SAF Availability Management Framework Configuration Requirements Capturing and Formalizing SAF Availability Management Framework Configuration Requirements A. Gherbi, P. Salehi, F. Khendek and A. Hamou-Lhadj Electrical and Computer Engineering, Concordia University,

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters

Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters ISSUE: May 2016 Smart Inrush Current Limiter Enables Higher Efficiency In AC-DC Converters by Benoît Renard, STMicroelectronics, Tours, France Inrush current limiting is required in a wide spectrum of

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Omar Kebichi *, Yervant Zorian**, Michael Nicolaidis* * Reliable Integrated Systems Group, TIMA / INPG, 46 avenue Félix Viallet 38031

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

2oo4D: A New Design Concept for Next-Generation Safety Instrumented Systems 07/2000

2oo4D: A New Design Concept for Next-Generation Safety Instrumented Systems 07/2000 2oo4D: A New Design Concept for Next-Generation Safety Instrumented Systems 07/2000 Copyright, Notices and Trademarks 2000 Honeywell Safety Management Systems B.V. Revision 01 July 2000 While this information

More information

Algorithm for Determining Most Qualified Nodes for Improvement in Testability

Algorithm for Determining Most Qualified Nodes for Improvement in Testability ISSN:2229-6093 Algorithm for Determining Most Qualified Nodes for Improvement in Testability Rupali Aher, Sejal Badgujar, Swarada Deodhar and P.V. Sriniwas Shastry, Department of Electronics and Telecommunication,

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Hardware Design Methods Nov 2007 Self-Checking Modules Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing)

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

and self-repair for memories, and (iii) support for

and self-repair for memories, and (iii) support for A BIST Implementation Framework for Supporting Field Testability and Configurability in an Automotive SOC Amit Dutta, Srinivasulu Alampally, Arun Kumar and Rubin A. Parekhji Texas Instruments, Bangalore,

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Modeling Techniques and Tests for Partial Faults in Memory Devices

Modeling Techniques and Tests for Partial Faults in Memory Devices Modeling Techniques and Tests for Partial Faults in Memory Devices Zaid Al-Ars Ad J. van de Goor Section Computer Engineering, Faculty of Information Technology and Systems Delft University of Technology,

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER

DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER Amandeep Singh 1, Balwinder Singh 2 1-2 Acadmic and Consultancy Services Division, Centre for Development of Advanced Computing(C-DAC), Mohali, India

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis Vivekananda M. Vedula and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin

More information

MATERIALS AND METHOD

MATERIALS AND METHOD e-issn: 2349-9745 p-issn: 2393-8161 Scientific Journal Impact Factor (SJIF): 1.711 International Journal of Modern Trends in Engineering and Research www.ijmter.com Evaluation of Web Security Mechanisms

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information