SPI TCL Library User's Guide Revision Jan-12 1/24

Size: px
Start display at page:

Download "SPI TCL Library User's Guide Revision Jan-12 1/24"

Transcription

1 Revision Jan-12 1/24 Byte Paradigm

2 Table of Content 1 Introduction TCL Interpreter Starting a TCL Session Getting Information on TCL Procedures SPI interface signals SPI Library - SPITclLib Quick Reference Table Procedures Detailed Description Table of Tables Table 1: Quick reference table of SPI procedures... 9 Table 2 : Access length according to access type and SS edges positioning Table of Pictures Figure 1: Tcl session start-up example... 5 Figure 2: Tcl console shortcut in 8PI Control Panel program group... 6 Figure 3: Tcl console at startup... 6 Revision Jan /24

3 References [1] GP complete data sheet (ds_gp22050.pdf) This document contains all the technical characteristics of the GP device. [2] 8PI Control Panel SPI mode of operation user s guide History Version Date Description Dec-2006 Initial revision Jan-2007 Added analyser functions Feb-2007 Reviewed and corrected document May-2007 Review before release Sep-2007 Modified SetReqClock and SetSSEdge functions description Nov-2007 Update for SPI Xpress Oct-2008 Added IdleBurst function Sept-2009 Update for version 1.07a of 8PI Control Panel Feb-2010 Review for release 1.08f July-2010 Corrected accesses length Completed some functions description July-2010 Updated info about max. access length in SPI Oct-2010 Removed obsolete ShiftWr and ShiftWrBurst functions Jan-2012 Added IO voltage selection Revision Jan /24

4 1 Introduction The objective of this document is to list and describe all the TCL procedures available in the SPI library provided to control the SPI operating mode of the GP and Xpress Series devices. Each procedure functionality and parameters are described in detail. A section is also dedicated to the TCL interpreter provided with the 8PI Control Panel application. The way to start it and to use it is briefly described. Revision Jan /24

5 2 TCL Interpreter 2.1 Starting a TCL Session To start a TCL session from the 8PI Control Panel GUI: 1. From the 8PI Control Panel GUI, access the desired operating mode sheet. 2. Click on the Open Tcl Console button (Figure 1). This opens the Tcl console, loads the Tcl libraries relative to the chosen operating mode and initialises the Tcl session. Figure 1: Tcl session start-up example Open Tcl Console button Revision Jan /24

6 To start a stand-alone TCL session (without running GUI): 1. From the Byte Paradigm > 8PI Control Panel program group, click on the TCL Console shortcut. This starts the Wish84 interpreter with the tkcon console. 2. In the Tcl console, type: % source SPIITclLib.tcl This initialises your TCL session in SPI operating mode. Figure 2: Tcl console shortcut in 8PI Control Panel program group Tcl Console shortcut By default, the 8PI Control Panel software environment uses the WISH interpreter with the TKCON console (interactive mode) ( Figure 3). For more information about the TKCON console, please check the following links: Please note that TCL is case sensitive. Figure 3: Tcl console at startup Loaded library TCL console prompt Tcl and Tk versions Revision Jan /24

7 2.2 Getting Information on TCL Procedures Tcl provides built-in commands for getting information about the elements loaded in memory during a Tcl session. We simply describe a few of them for those unfamiliar to the Tcl language. To list the libraries loaded in the TCL environment: % info loaded To list all the procedures loaded in the TCL environment: % info procs To list the arguments of a given procedure: % info args <procedure name> To list the body of a given procedure: % info body <procedure name> To learn more about the TCL/TK language, numerous man pages, tutorials and references can be found at the following location: Revision Jan /24

8 3 SPI interface signals Please refer to SPI Xpress / GP Series devices data sheet for pin mappings. Revision Jan /24

9 4 SPI Library - SPITclLib This library contains all the procedures available to control the GP Series devices when operating in SPI mode and the SPI Xpress device. 4.1 Quick Reference Table Table 1 gives a list of all procedures available for the ADWG mode. Table 1: Quick reference table of SPI procedures Procedures Description Terminate {} Closes the SPI TCL session. IsDeviceReady {} Checks if the device is properly connected to the host PC. SelectIOVoltage {IOVoltage} Selects the user interface voltage. SetClockCont {Cont} Defines the serial clock operating mode: continuous clock or hole clock. GetClockCont {} Returns the clock mode currently in use. SetMode {Mode {Display 0}} Defines the SPI operating mode. GetMode {} Returns the SPI operating mode. SetReqClock {Freq} Defines the requested operating clock frequency (in Hz). GetReqClock {} Returns the current requested operating clock frequency (in Hz) GetSynthClock {} Returns the achieved operating clock frequency (in Hz) SetNrOfSlaves {NrSlaves {Display 0}} Defines the number of SPI slaves connected to the device. A maximum of 5 slaves can be connected to the same device. GetNrOfSlaves {} Returns the number of SPI slaves currently defined. SelectSlave {SlaveID {Display 0}} Defines the ID of the slave to select for the next SPI transfer. GetSelectedSlave {} Returns the ID of the slave currently selected for SPI transfers. SetSSEdges {SSDelayStart SSDelayStop {Display 0}} Defines the slave select edge positions. GetSSDelayStart {} Returns the SS start edge position. GetSSDelayStop {} Returns the SS stop edge position. SetSSClockMasking {Enable} Defines the clock masking behaviour with the slave select GetSSClockMasking {{Display 0}} Returns the clock masking mode with the slave select SetLatencyClockMasking {Enable} Defines the clock masking behaviour during SPI3 latency GetLatencyClockMasking {{Display 0}} Returns the clock masking behaviour during SPI3 latency SetSSActiveLevel {Level} Defines the active level of the SS signal(s). GetSSActiveLevel {{Display 0}} Returns the active level of the SS signal(s). SetBitOrder {BitOrder} Defines the bit ordering within each data byte. GetBitOrder {{Display 0}} Returns the bit ordering within each data byte. Plugin4V7V {BitOrder} Enable or disable to 4V to 7V plug-in. WriteConfFile {FileName {Message 1}} Writes the SPI configuration to a file. ReadConfFile {FileName { Message 1}} Reads the SPI configuration from a file. Idle {NrBits {Message 1}} Runs a given number of Idle cycles. ShiftWrAndRd {NrBits pdataout {Message 1}} Performs a simultaneous write and read access to the selected slave (for SPI-4 only) ShiftWrThenRd {NrBitsOut NrBitsIn pdataout Latency WREnHigh {Message 1}} Performs a write access followed by a read access to/from the selected slave (for SPI-3 only). InitBurst {} Initialise a new burst transfer IdleBurst {NrBits {Message 1}} Adds a pause (in number of Idle cycles) to the burst buffer. ShiftWrAndRdBurst {NrBits pdataout {Message 1}} Adds a new simultaneous write and read access (to the selected slave) to the burst buffer. This is available in SPI-4 mode only. Revision Jan /24

10 Procedures ShiftWrThenRdBurst {NrBitsOut NrBitsIn pdataout Latency WREnHigh {Message 1} SendBurst {{Message 0}} GetFirstBurstTransfer {} GetNextBurstTransfer {} GetBurstData {Nr} GetCapturedData {NrBytes} GetLastErr {} GetScriptLength {FileName} ExecuteScript {FileName} Analyse {NrBits {SPI4Mode 1} {SPI3WrLength 0} {SPI3Latency 0} {SPI3RdLength 0} {Message 0}} SetExportFileName {FileName} GetExportFileName {} SetExportFileType {FileType} GetExportFileType {} SetAutoSave {AutoSave} GetAutoSave {{Display 0}} ExportRawDataFile {FileName} ExportRawSPIDataFile {FileName} ExportDecodedSPIDataFile {FileName} SetInternalTrigger {Internal {Display 0}} GetInternalTrigger {} SetEdgeTrigger{Enable {Display 0}} GetEdgeTrigger{{Display 0}} SetCtrlTrigMask {Mask {Display 0}} GetCtrlTrigMask {} SetCtrlTrigPattern {Pattern {Display 0}} GetCtrlTrigPattern {} SetTriggerPos {Sample} GetTriggerPos {} GetOverSampling {} Description Adds a write access followed by a read access to/from the selected slave to the burst buffer. This is available in SPI-3 mode only) Starts the a new burst transfer Selects the first SPI transfer to readback the captured data. Selects the next SPI transfer to readback the captured data. Returns one single data byte from one transfer. Returns a pointer to the full set of captured data in one transfer. Returns the last encountered error code. Returns the loaded script length in number of samples in SPI Master mode. Executes the loaded script in SPI Master mode. Starts the SPI analyser for a given number of bits. Specifies the output file name for the autosave feature in SPI Analyser mode. Returns the name of output file for the autosave feature in SPI Analyser mode. Specifies the output file type for the autosave feature in SPI Analyser mode. Returns the selected file type for the autosave feature in SPI Analyser mode. Enables / disables the autosave feature for the SPI Analyser. Returns the Enable/Disable status of the autosave feature of the SPI Analyser. Exports the analysed data to a file, in raw format. Export the analysed data to a file, in raw SPI format (values sampled at the SPI clock edges). Exports the analysed data to a file, decoded format. Defines the triggering mode (internal or external). Returns the current triggering mode. Selects edge or level trigger. Returns edge or level trigger. Defines the triggering mask to select the control lines to use as trigger inputs. Returns the triggering mask. Defines the pattern to detect on the trigger inputs to generate the trigger event. Returns the triggering pattern. Defines the position of the trigger in the run that is the number of samples before the trigger. Returns the programmed trigger position. Returns the current clock oversampling. 4.2 Procedures Detailed Description This section gives a detailed description of each procedure. Revision Jan /24

11 Terminate {} conditions: None Closes the SPI TCL session. This function unloads from memory the class instance used to interact with the device and hence disconnects the SPI session from the Smart Router. IsDeviceReady {} see also: None 1 if device is ready. 0 if device not ready or not connected. Returns the status of the connection with the device. Using this function is not required to be able to communicate with the device. It is provided to check status if needed. When another function requests an access to the device, the communication status is always automatically checked before starting the transfer. SelectIOVoltage {IOVoltage} parameters IOVoltage: integer value representing the IO voltage, the IO : voltage can be internally generated of user applied. The voltage level is defined in millivolts. This function only takes the following predefined values: 3300, 2500, 1800, 1500 and The nearest value must be selected when the user applies a different external voltage level. For example, set IOVoltage to 2500 when 2.7V is applied. The default value is conditions: SetClockCont {Cont} Cont: valid values: 0 or 1. see also: Select the clock mode, between continuous clock (Cont = 1) and hole clock (Cont = 0). When the clock operates in continuous mode, it is permanently applied on the device output pin. In hole clock mode, the clock pulses are provided only when data is being transferred (write or read). GetClockCont {} see also: None 1 if device is in continuous mode; 0 if the clock is in hole mode. Returns the SPI clock mode currently in use. SetMode {Mode {Display 0}} Mode: Defines the SPI operation mode that is the clock idle level and the edges used to send and capture data bits. Idle Level MOSI MISO Revision Jan /24

12 0 (00) low falling rising 1 (01) low rising falling 2 (10) high rising falling 3 (11) high falling rising Display: optional parameter to display additional information. By default set to 0 (disabled). Defines the clock idle level and clock edges used to sample or generate data bits. When the clock mode is set to non-continuous (hole mode), the level of the clock when no transfer is performed can be programmed to remain high (modes 2/3) or low (modes 0/1). The SPI clock edges used to generate the data out of the master device (MOSI) or to capture data received by the master (MISO) can also be programmed. According to the selected mode, the data are generated and captured on the rising or falling edge of the SPI clock. see also: GetMode {} see also: None Integer value describing the selected mode. Idle Level MOSI MISO 0 (00) low falling rising 1 (01) low rising falling 2 (10) high rising falling 3 (11) high falling rising Returns the SPI synchronisation mode currently in use. SetReqClock {Freq} conditions: see also: Freq: integer value representing the requested frequency to be used to generate the SPI clock signal (in Hz). The value can be set between 800 Hz and (50MHz) An integer error code <0 if operation failed. Defines the requested frequency for the SPI clock. The parameter of this function is only the requested frequency and not the real frequency that will be generated. The output clock frequency of the device can be programmed with a resolution of 4ns (integer division of a 200MHz reference clock). This means that not all frequencies can be exactly generated. The device always generates the closest frequency corresponding to an integer division of 200MHz and immediately below the requested frequency. Example: requested frequency = Hz achieved frequency = Hz GetReqClock{}, GetSynthClock{} GetReqClock {} conditions: None A decimal value Returns the requested frequency for the device operating clock (unit = Hz) Revision Jan /24

13 see also: GetSynthClock{}, SetReqClock{} GetSynthClock {} conditions: see also: None A decimal value Returns the real output clock frequency generated by the device. This frequency is the closest frequency corresponding to an integer division of 200MHz and immediately below the requested frequency. SetReqClock{}, GetReqClock{} SetNrOfSlaves {NrSlaves {Display 0}} NrSlaves: Value defining the number of slaves connected to the Device. This value can be defined between 0 and 5. Default value is 1. Display: optional parameter used to display additional information. By default set to 0 (disabled). The device can control up to 5 slaves. This function defines the number of slaves connected to the master device. It configures the number Slave Select (SS) that must be used to activate the different slave devices. If the value is set to 0, a single slave device can be connected to the device and the SS line is not driven. conditions: see also: GetNrOfSlaves {} none Integer value Returns the current value programmed defining the number of slave devices connected to the device. SelectSlave {SlaveID {Display 0}} SlaveID: Integer value between 1 and 5. By default, ID=1 is defined. Display: optional parameter used to display additional information. By default set to 0 (disabled). none Defines the ID of the slave that must be selected for the following data transfers. Since the maximum number of slaves that can be connected to the device is limited to 5, the ID value must be defined between 1 and 5. GetSelectedSlave {} none Integer value between 1 and 5. Returns the selected slave. SetSSEdges {SSDelayStart SSDelayStop {Display 0}} SSDelayStart: slave select start edge delay. SSDelayStop: slave select stop edge delay. Display: optional parameter used to display additional information. By default set to 0 (disabled). An integer error code <0 if operation failed. Revision Jan /24

14 Defines the delays for the edges of the slave select signal. The rising and falling edges can separately be shifted from 0 to 2 quarters of clock period before and after the conventional start end end edge of the transaction. Shifting the SS edges automatically sets up the proper clock oversampling. Valid values for the parameters are ranging from -2 to 1. For example, SetSSEdges(-2, 1) means: - SS starts with -2/4 (-1/2) x SCLK delay, relative to the first active SCLK edge of the SPI access - SS ends with +1/4 x SCLK delay, relative to the last active SCLK edge of the SPI access. Please note: the following rules apply for the max. SCLK frequency: Delay on SS (START or STOP) Max. SCLK frequency 0 50 MHz ½ SCLK 25 MHz ¼ SCLK 12.5 MHz GetSSDelayStart {} none Integer value between -2 and 1. Returns the slave select start edge position as defined with the function SetSSEdges GetSSDelayStop {} none Integer value between -2 and 1. Returns the slave select stop edge position as defined with the function SetSSEdges SetSSActiveLevel {Level} Level: valid values: 0 or 1. 0 for active low ; 1 for active high. Defines the SS signal(s) active level (high or low). GetSSActiveLevel {{Display 0}} Display: optional parameter used to display additional information. By default set to 0 (disabled). 0 or 1. 0 for active low ; 1 for active high. Returns the SS signal(s) line active level. SetSSClockMasking {Enable} Enable: valid values: 1 enables the masking of the clock while the slave select is inactive, 0 disables this feature. Defines the clock masking behaviour with the slave select. Revision Jan /24

15 GetSSClockMasking {{Display 0}} Display: optional parameter used to display additional information. By default set to 0 (disabled). 0 or 1. 1 for clock masking with slave select; 0 otherwise. Returns the clock masking mode with the slave select. SetLatencyClockMasking {Enable} Enable: valid values: 1 enables the masking of the clock during the SPI3 latency, i.e. while the SPI3 master switched from write to read; 0 disables this feature. Defines the clock masking behaviour during SPI3 latency. GetLatencyClockMasking {{Display 0}} Display: optional parameter used to display additional information. By default set to 0 (disabled). 0 or 1. 1 for clock masking during SPI3 latency; 0 otherwise. Returns the clock masking behaviour during SPI3 latency. SetBitOrder {BitOrder} BitOrder: sets MS bit / LS bit first none Defines the bit ordering within each data byte 0 LS bit first: each byte is sent/read from LSb to MSb 1 MS bit first: each byte is sent/read from MSb down to LSb Note that the least significant byte of the buffer is always sent first. GetBitOrder {{Display 0}} Display: optional parameter used to display additional information. By default set to 0 (disabled). Value representing the bit order within each data byte. Defines the bit ordering within the data bytes. 0 LS bit first 1 MS bit first Note that the least significant byte of the buffer is always sent first. Plugin4V7V {Enable} Enable: enables or disables the 4V-7V plug-in none WriteConfFile {FileName {Message 1}} FileName: Output file name, including path. Message: Flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. none Revision Jan /24

16 Writes the current SPI configuration to a file. Refer to [2] for a description of the configuration file format. ReadConfFile {FileName {Message 1}} FileName: Input file name, including path. Message: Flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. none Reads a configuration from a file. Refer to [2] for a description of the configuration file format. Idle {NrBits {Message 1}} NrBits: Number of bits (or SPI clock cycles) to run. Message: Flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. Integer: Error code: 0 if successful; another value if failed (SPI Master) Holds the SPI interface lines in their default level during a given number of SPI clock cycles. ShiftWrAndRd {NrBits pdataout {Message 1}} NrBits: Integer value representing the total number of bits to be transferred to/from the slave device. This value must be defined between 1 and a max value described in Table 2. ( bits is the absolute maximum). pdataout: Value in hex (0x) with the data to send out. Message: Flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. An integer error code. 0 if successful. Performs a simultaneous write and read access to the slave device. This function can only be used for a 4-wire SPI configuration. Each time a bit is sent out, a bit is captured. If more bits must be read than written, then the output data buffer must be padded with 0 to contain the correct number of bits. The read value is stored in the TCL global variable ShiftDataIn. SPI 4 accesses SPI 3 accesses SS start delay SS end delay ShiftWrAndRd max. access length ShiftWrThenRd Max. WR ShiftWrThenRd Max. Latency ShiftWrThenRd Max. Rd length length length (don t care) -1/4 SCLK bits bits bits Revision Jan /24

17 (don t care) +1/4 SCLK bits bits bits -1/2 SCLK -1/2 SCLK bits bits bits -1/4 SCLK (don t care) bits bits 400 bits bits +1/4 SCLK (don t care) bits bits bits no delay bits bits bits Table 2 : Access length according to access type and SS edges positioning. Refer to function SetSSEdges for more information about how to set the SS start and end delays. Positioning SS at ½ or ¼ of SCLK automatically switches an oversampling mode in the device, which limits the maximum access length of each type of access. ShiftWrThenRd {NrBitsOut NrBitsIn pdataout Latency WREnHigh {Message 1}} NrBitsOut: Integer value representing the number of bits to be written to the slave device. This value must be defined between 1 and a max. value described in Table 2. NrBitsIn: Integer value representing the number of bits to be read from the slave device. This value must be defined between 0 and a max. value described in Table 2. pdataout: Value in hex (0x) with the data to send out. Latency: Integer value defining the number of clock cycles to insert between the write and read access. The value must be defined between 0 and 400. WrEnHigh: Boolean flag defining the polarity of the write enable signal. 1 active high write enable 0 active low write enable Message: Boolean flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. An integer error code. 0 if successful. Performs a write access followed by a read access to the slave device. This function can only be used for a 3-wire SPI configuration. A first write access is performed to the selected slave device. The length of the access is defined by NrBitsOut. Then an idle period of programmable length (Latency) is waited before starting the read access. This idle period is used to give time to reverse the data signal direction. The latency can be programmed to 0, but it is recommended to program it at least to 1 to avoid shorts/conflict on the data line due to the time needed by the different devices to reverse the direction. When the idle period is completed, a read access is started. NrBitsIn bits are captured. The read value is stored in the TCL global variable ShiftDataIn. InitBurst {} none Initialises the system for a new SPI burst transfer. Data read during a previous burst and still available in memory is discarded. Revision Jan /24

18 IdleBurst {NrBits {Message 1}} NrBits: Number of bits (or SPI clock cycles) to run. Message: Flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. Integer: Error code: 0 if successful; another value if failed (SPI Master) Holds the SPI interface lines in their default level during a given number of SPI clock cycles. IdleBurst is used with the burst transfer. A burst transfer executes in 2 steps. First, the burst commands are stacked into memory; second, when calling the SendBurst function, the stored burst commands are executed one by one. ShiftWrAndRdBurst {NrBits pdataout {Message 1}} NrBits: Integer value representing the total number of bits to be transferred to/from the slave device. This value must be defined between 1 and a max value described in Table 2. ( bits is the absolute maximum). pdataout: Value in hex (0x) with the data to send out. Message: Flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. An integer error code. 0 if successful. Adds a new simultaneous write and read access (to the slave device) to the burst buffer. The burst transfer is started by calling the SendBurst function. This function can only be used for a 4-wire SPI configuration. Each time a bit is sent out, a bit is captured. If more bits must be read than written, then the output data buffer must be padded with 0 to contain the correct number of bits. Revision Jan /24

19 ShiftWrThenRdBurst {NrBitsOut NrBitsIn pdataout Latency WREnHigh {Message 1}} NrBitsOut: Integer value representing the number of bits to be written to the slave device. This value must be defined between 1 and a max. value described in Table 2. NrBitsIn: Integer value representing the number of bits to be read from the slave device. This value must be defined between 1 and a max. value described in Table 2. pdataout: Value in hex (0x) with the data to send out. Latency: Integer value defining the number of clock cycles to insert between the write and read access. The value must be defined between 0 and 400. WrEnHigh: Boolean flag defining the polarity of the write enable signal. 1 active high write enable 0 active low write enable Message: Boolean flag controlling the generation of dialog box to report error messages. 1 dialog box enabled 0 dialog box disabled When dialog box are disabled, the error is only reported using the return code. An integer error code. 0 if successful. Adds a new write access followed by a read access (to the slave device) to the burst buffer. The burst transfer is started by calling the SendBurst function. This function can only be used for a 3-wire SPI configuration. A first write access is performed to the selected slave device. The length of the access is defined by NrBitsOut. Then an idle period of programmable length (Latency) is waited before starting the read access. This idle period is used to give time to reverse the data signal direction. The latency can be programmed to 0, but it is recommended to program it at least to 1 to avoid shorts/conflict on the data line due to the time needed by the different devices to reverse the direction. When the idle period is completed, a read access is started. NrBitsIn bits are captured. Note that the WrEnHigh and the Latency must be the same for all transfers in one burst. SendBurst {} none Executes the SPI transfers defined by successive calls to the ShiftWrAndRdBurst and ShiftWrThenRdBurst function. GetFirstBurstTransfer {} none An integer error code: < 0 if operation failed; if successful. After the execution of a burst transfer, this command requests the selection of the first SPI transfer to prepare the readback of the captured data. To actually read the readback data, please refer to GetBurstData and GetCapturedData; to select the following burst transfer, please refer to the GetNextBurstTransfer function. Revision Jan /24

20 GetNextBurstTransfer {} none An integer error code: < 0 if operation failed; if successful. After the execution of a burst transfer, this command requests the selection of the next SPI transfer to prepare the readback of the captured data. To actually read the readback data, please refer to GetBurstData and GetCapturedData; to select the first burst transfer, please refer to the GetFirstBurstTransfer function. GetBurstData {Nr} Nr : integer used to select the byte index within the selected transfer. For instance, if one single transfer is to return 5 bytes of data, Nr can take values from 0 to 4. An integer error code: < 0 if operation failed; if successful. Returns one single data byte from the transfer selected with GetFirstBurstTransfer or GetNextBurstTransfer commands. The requested data is stored in the global variable ShiftDataIn. Please also refer to GetCapturedData GetCapturedData {NrBytes} NrBytes : integer used to specify the total number of bytes to collect from the selected transfer. An integer error code: < 0 if operation failed; if successful. Returns the specified number of bytes from the transfer selected with GetFirstBurstTransfer or GetNextBurstTransfer commands. GetLastErr {} none Returns the last error code returned by the device. GetScriptLength {FileName} FileName: String path and file name of the script. An integer value representing the script length in number of SPI accesses. This function analyses the script file given as input parameter and returns its length in number of lines. ExecuteScript {FileName} FileName: String path and file name of the script. Integer : 0 if execution successful; other value if execution failed. Starts the execution of the script given as input parameter in SPI Master mode. Revision Jan /24

21 Analyse {NrBits {SPI4Mode 1} {SPI3WrLength 0} {SPI3Latency 0} {SPI3RdLength 0} {Message 0}} NrBits: unsigned integer specifying the number of samples to analyse. SPI4Mode: boolean defining the SPI interface type (SPI4 or SPI3) SPI3WrLength: in SPI3 mode, length of the write phase in clock cycles SPI3Latency: in SPI3 mode, length of the write-to-read latency in clock cycles. SPI3RdLength: in SPI3 mode, length of the read phase in clock cycles. Message: boolean value enabling / disabling the function popup messages; 1 to enable; 0 to disable. Integer : 0 if execution successful; other value if execution failed. Starts the sampling and the analysis of the specified number of samples from a SPI interface. Note that the analysis is done by oversampling the SPI interface. Hence, the NrBits parameters specifies a number of samples taken and not the number of SPI bits sampled. Example: assume one wants so sample a 1MHz SPI bus during 1ms with on oversampling of 10, this would mean NrBits must be equal to SetExportFileName {FileName} FileName : String path and file name of the export file Specifies the name of the export file used with the autosave feature. GetExportFileName {Display 0} String path and file name of the export file. Returns the name of the export file used with the autosave feature. SetExportFileType { FileType } FileType: unsigned integer representing the output file type: 0 : Raw data file 1 : SPI raw data file 2 : Decoded data file Specifies the type of the export file used with the autosave feature. The SPI Analyser proceeds by oversampling the data from the SPI port. It can present the data in 3 formats: 0 : Raw data file: all the samples are given out. 1 : SPI raw data file : the analyser the SPI port signals sampled at the chosen SPI clock edge. 2 : Decoded data file : the SPI transaction are extracted from the bitstream (refer to [2] for a description of the corresponding syntax). GetExportFileType {} none Integer representing the file type: 0 : Raw data file 1 : SPI raw data file 2 : Decoded data file Returns the file type programmed with SetExportFileType() function for Revision Jan /24

22 the SPI Analyser autosave feature.. SetAutoSave {AutoSave} AutoSave: boolean 1 to enable; 0 to disable. Enables / disables the SPI Analyser autosave. GetAutoSave {{Display 0}} Display: optional parameter used to display additional information. By default set to 0 (disabled). 0 or 1. 1 for auto-saving; 0 otherwise. Returns the enable / disable status of the SPI Analyser autosave feature: 1 if enabled; 0 if disabled. ExportRawDataFile {FileName} FileName : output export path and file name. An integer error code: 0 if export successful; other value if export failed. Exports the SPI analysed data to an output file, using the raw data format (please refer to [2] for a description of the SPI Analyser formats). ExportRawSPIDataFile {FileName} FileName : output export path and file name. An integer error code: 0 if export successful; other value if export failed. Exports the SPI analysed data to an output file, using the raw SPI data format (please refer to [2] for a description of the SPI Analyser formats). ExportDecodedSPIDataFile {FileName} FileName : output export path and file name. An integer error code: 0 if export successful; other value if export failed. Exports the SPI analysed data to an output file, using the decoded SPI data format (please refer to [2] for a description of the SPI Analyser formats). SetInternalTrigger {Internal {Display 0}} Internal: boolean selecting the trigger type 1 for internal trigger; 0 for external trigger. Display: optional parameter used to display additional information. By default set to 0 (disabled). To trigger the SPI Analyser, 2 types of trigger can be selected: - an internal trigger the SPI Analyser starts immediately when the user runs the Analyse() command; - an external trigger, defined onto the device 6 control lines. GetInternalTrigger {} 1 for internal trigger; 0 for external trigger. Returns the trigger type previously programmed with the SetInternalTrigger{} function. SetEdgeTrigger {Enable {Display 0}} Enable: boolean boolean selecting edge or level trigger 1 for Revision Jan /24

23 edge trigger; 0 for level trigger. Display: optional parameter used to display additional information. By default set to 0 (disabled). To Selects edge or level trigger. GetEdgeTrigger {{Display 0}} Display : optional parameter used to display additional information. By default set to 0 (disabled).. 1 for edge trigger; 0 for level trigger. Returns edge or level trigger. SetCtrlTrigMask {Mask {Display 0}} Mask: Integer. This range of the mask depends on the operating mode: Analyser mode : value represents a 6 bit mask and ranges from 0x01 to 0x3F. Master mode : value represents a 4 bit mask and ranges from 0x02 to 0x1E; bit 0 is used for the write enable signal and bit 5 is used for the clock. Display:optional parameter used to display additional information. By default set to 0 (enabled). When the external triggering mode is used, the trigger mask selects the control lines to be used as trigger inputs. When a mask bit is set to 0, the corresponding control line is masked for triggering. The mask is given as a pointer to a short value equivalent to the binary value of the mask (example: mask = (Binary) *pmask points to a short = 24). GetCtrlTrigMask {} none. Returns the mask applied on the control lines to detect the external trigger. SetCtrlTrigPattern {Pattern {Display 0}} Pattern: Integer. This range of the pattern depends on the operating mode: Analyser mode : value represents a 6 bit mask and ranges from 0x01 to 0x3F. Master mode : value represents a 4 bit mask and ranges from 0x02 to 0x1E; bit 0 is used for the write enable signal and bit 5 is used for the clock Display:optional parameter used to display additional information. By default set to 0 (disabled). Defines the pattern to detect on the trigger inputs to generate the trigger event. The pattern is given as a pointer to a short value equivalent to the binary value of the pattern (example: pattern = (Binary) *ppattern points to a short = 24). Revision Jan /24

24 GetCtrlTrigPattern {} none. Returns the pattern applied on the control lines to generate a trigger event and start applying data samples on the device system connector. SetTriggerPos {Sample} Sample: integer value representing the index of the sample in the run where the trigger should be positioned. An integer error code: 0 is successful; another value if unsuccessful. Use this function to position the trigger after a given number of samples in the total run. Once the sampling run is over, the corresponding number of samples before the trigger is displayed, together with the rest of the run after the trigger. GetTriggerPos {} none. A integer representing the trigger position. Returns the trigger position previously programmed. The trigger position is defined with the sample index at which it is positioned. GetOverSampling {} none. An integer value equal to 1, 2 or 4. According to the position of the SPI Master SS edges, the device automatically selects the adequate oversampling of its internal clock with respects to the SPI clock. This function returns the selected oversampling. Revision Jan /24

Analyser TCL Library

Analyser TCL Library Revision 1.07 23-Jan-12 1/22 Byte Paradigm info@byteparadigm.com Table of Content 1 Introduction... 4 2 TCL Interpreter... 5 2.1 Starting a TCL Session... 5 2.2 Getting Information on TCL Procedures...

More information

ADWG TCL Library User's Guide Revision Feb-15 1/24

ADWG TCL Library User's Guide Revision Feb-15 1/24 Revision 1.11 13-Feb-15 1/24 Byte Paradigm info@byteparadigm.com Table of Content 1 Introduction... 4 2 TCL Interpreter... 5 2.1 Starting a TCL Session... 5 2.2 Getting Information on TCL Procedures...

More information

ADWGC C library User's Guide Revision February /20

ADWGC C library User's Guide Revision February /20 Revision 1.09-13 February 2015 1/20 Byte Paradigm info@byteparadigm.com Table of Content 1 Introduction... 4 2 GP Series device ADWGC C Library... 5 2.1 Functions quick Reference Table... 5 2.2 Functions

More information

8PI Control Panel. User's Guide

8PI Control Panel. User's Guide Revision.7 3 February 25 FCC Compliance This device complies with Part 5 of the FCC Rules. Operation is subject to the following conditions: () This device may not cause harmful interference, and (2) This

More information

SVF TCL Library User's Guide Revision November /14

SVF TCL Library User's Guide Revision November /14 Revision 1.03-13 November 2007 1/14 Byte Paradigm info@byteparadigm.com Table of Content Table of Content... 2 Table of Tables... 2 Table of Pictures... 2 References... 3 History... 3 1 Introduction...

More information

SPI Xpress. Data sheet

SPI Xpress. Data sheet Revision 1.04 - July 2010 Table of Contents Table of Contents... 2 Table of Tables... 2 Table of Figures... 2 Revision history... 3 1 Features... 4 2 SPI Xpress Overview... 4 3 Connecting the SPI Xpress

More information

Introducing SPI Xpress SPI protocol Master / Analyser on USB

Introducing SPI Xpress SPI protocol Master / Analyser on USB Introducing SPI Xpress SPI protocol Master / Analyser on USB SPI Xpress is Byte Paradigm s SPI protocol exerciser and analyser. It is controlled from a PC through a USB 2.0 high speed interface. It allows

More information

LOG Storm Studio. User's Guide. Revision Jan Byte Paradigm

LOG Storm Studio. User's Guide. Revision Jan Byte Paradigm 1/24 Table of Contents 1Introduction... 4 2Starting up a... 4 2.1What do you need to get started?...4 2.2Connecting and configuring your LOG Storm device...4 3Using LOG Storm for the first time...6 3.1LOG

More information

ArduCAM-M-2MP Camera Shield

ArduCAM-M-2MP Camera Shield 33275-MP ArduCAM-M-2MP Camera Shield 2MP SPI Camera Hardware Application Note Rev 1.0, Mar 2015 33275-MP ArduCAM-M-2MP Hardware Application Note Table of Contents 1 Introduction... 2 2 Typical Wiring...

More information

Microcontrollers and Interfacing

Microcontrollers and Interfacing Microcontrollers and Interfacing Week 10 Serial communication with devices: Serial Peripheral Interconnect (SPI) and Inter-Integrated Circuit (I 2 C) protocols College of Information Science and Engineering

More information

SPI Storm Studio. Getting Started Guide. Revision Sep Byte Paradigm

SPI Storm Studio. Getting Started Guide. Revision Sep Byte Paradigm 1/18 Table of Contents 1Introduction... 4 2Starting up with an existing project...4 2.1What do you need to get started?...4 2.2Connecting and configuring your SPI Storm device...4 2.3Loading an existing

More information

An SPI interface for the 65(C)02 family of microprocessors

An SPI interface for the 65(C)02 family of microprocessors Rev 4/B Dec 30, 2011 65SPI/B An SPI interface for the 65(C)02 family of microprocessors This device was created to provide a basic SPI interface for the 65xx family of microprocessors. Currently, the only

More information

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave.

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave. EE 456 Fall, 2009 Notes on SPI Bus Blandford/Mitchell The Serial Peripheral Interface (SPI) bus was created by Motorola and has become a defacto standard on many microcontrollers. This is a four wire bus

More information

The 9S12 Serial Peripheral Inteface (SPI) Huang Section 10.2 through 10.6 SPI Block User Guide

The 9S12 Serial Peripheral Inteface (SPI) Huang Section 10.2 through 10.6 SPI Block User Guide The 9S12 Serial Peripheral Inteface (SPI) Huang Section 102 through 106 SPI Block User Guide The 9S12 Serial Peripheral Interface (SPI) The 9S12 has a Synchronous Serial Interface On the 9S12 it is called

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

Wave Generator Xpress

Wave Generator Xpress ! READ THIS FIRST! This revision of Wave Generator Data Sheet is valid for devices sold from September 2011. Please check your unit hardware revision. The hardware revision is composed of the 2 first digits

More information

Figure 1: Byte 1 Byte 2 MISO <--- OVF EOM P0 P1 P2 P3 P4 P5 P6 P7 P8 P9 P

Figure 1: Byte 1 Byte 2 MISO <--- OVF EOM P0 P1 P2 P3 P4 P5 P6 P7 P8 P9 P A Winbond Company Applications Note 5A Operations, a Simplified Guide A simple but powerful command structure is built into the 4000 series SPI control port. It s inherent flexibility allows the software

More information

More on the 9S12 SPI Using the Dallas Semiconductor DS1302 Real Time Clock with the 9S12 SPI

More on the 9S12 SPI Using the Dallas Semiconductor DS1302 Real Time Clock with the 9S12 SPI More on the 9S12 SPI Using the Dallas Semiconductor DS1302 Real Time Clock with the 9S12 SPI Using the 9S12 SPI The SPI has a data register (SPIDR) and a shift register. To write data to the SPI, you write

More information

Wisconsin MMC FPGA SPI Configuration Interface

Wisconsin MMC FPGA SPI Configuration Interface Wisconsin MMC FPGA SPI Configuration Interface 31-Jan-2013 T. Gorski, University of Wisconsin This specification describes the enhanced SPI interface supported by the Wisconsin MMC, the purpose of which

More information

Digital Storage Oscilloscope

Digital Storage Oscilloscope Digital Storage Oscilloscope GDS-3000 Series SERIAL DECODE MANUAL GW INSTEK PART NO. 82DS-SBD00U01 ISO-9001 CERTIFIED MANUFACTURER October 2010 This manual contains proprietary information, which is protected

More information

< W3150A+ / W5100 Application Note for SPI >

< W3150A+ / W5100 Application Note for SPI > < W3150A+ / W5100 Application Note for SPI > Introduction This application note describes how to set up the SPI in W3150A+ or W5100. Both the W3150A+ and W5100 have same architecture. W5100 is operated

More information

SPI Storm. Data sheet

SPI Storm. Data sheet Revision 1.02 - February 2015 Table of Contents 1 Main features...4 2 SPI Storm Overview...4 2.1 SPI Storm at a glance...4 2.2 Minimum Host PC requirements...5 2.3 Operating power...5 2.4 USB and system

More information

Video over SPI. (VoSPI) Implementaion Specification. Document Number: 102-PS Date: 22 Jan Weilming Sieh, FLIR. Paul Fagerburg, Syncroness

Video over SPI. (VoSPI) Implementaion Specification. Document Number: 102-PS Date: 22 Jan Weilming Sieh, FLIR. Paul Fagerburg, Syncroness Video over SPI (VoSPI) Implementaion Specification Document Number: 102-PS245-43 Date: 22 Jan 2013 Weilming Sieh, FLIR Paul Fagerburg, Syncroness Reviewed by: FLIR SYSTEMS PROPRIETARY COMPANY ONLY This

More information

EE 308 Spring Using the 9S12 SPI

EE 308 Spring Using the 9S12 SPI Using the 9S12 SPI The SPI has a data register (SPIDR) and a shift register. To write data to the SPI, you write to the SPIDR data register. The 9S12 automatically transfers the data to the shift register

More information

AN-1025 APPLICATION NOTE

AN-1025 APPLICATION NOTE APPLICATION NOTE One Technology Way PO Box 9106 Norwood, MA 02062-9106, USA Tel: 7813294700 Fax: 7814613113 wwwanalogcom Utilization of the First In, First Out (FIFO) Buffer in Analog Devices, Inc Digital

More information

ECE 471 Embedded Systems Lecture 20

ECE 471 Embedded Systems Lecture 20 ECE 471 Embedded Systems Lecture 20 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 20 October 2017 Announcements Project coming Only one person was in class Wednesday due to Career

More information

Read section 8 of this document for detailed instructions on how to use this interface spec with LibUSB For OSX

Read section 8 of this document for detailed instructions on how to use this interface spec with LibUSB For OSX CP2130 INTERFACE SPECIFICATION 1. Introduction The Silicon Labs CP2130 USB-to-SPI bridge is a device that communicates over the Universal Serial Bus (USB) using vendor-specific control and bulk transfers

More information

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface Rev: 1.0.0 Date: 23 rd Jan 2015 App Note - 310 Application Note: Addressing Multiple FPAAs Using a SPI Interface TABLE OF CONTENTS 1 PURPOSE... 2 2 THE SPI INTERFACE... 3 2.1 OVERVIEW... 3 2.2 DETAILED

More information

USB-910H API DLL and Include File Reference Manual

USB-910H API DLL and Include File Reference Manual USB-910H API DLL and Include File Reference Manual APPLICABLE ADAPTERS This Application Note applies to the following Keterex products: KXUSB-910H. AN2101 Application Note INTRODUCTION The Keterex USB-910H

More information

DS1306. Serial Alarm Real Time Clock (RTC)

DS1306. Serial Alarm Real Time Clock (RTC) www.dalsemi.com FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 2100 96-byte nonvolatile RAM for data

More information

power supply Tamper Detect function will detect possible data modification from outside magnetic

power supply Tamper Detect function will detect possible data modification from outside magnetic FEATURES High bandwidth Read and Write at 52MB/sec Quad I/O with the use of dual purpose pins to maintain a low pin count Operates in both standard, single SPI mode and high speed quad SPI mode Fast quad

More information

LB5900 Series Power Sensor SPI & I2C Interface Guide

LB5900 Series Power Sensor SPI & I2C Interface Guide LB5900 Series Power Sensor SPI & I2C Interface Guide TABLE OF CONTENTS TABLE OF CONTENTS... 1 NOTICE... 4 GENERAL... 5 Sensor Power... 6 Data Line Electrical Specifications... 6 Commands, Data Transmission

More information

CAN / RS485. Product Description. Technical Reference Note. Interface Adapter. Special Features

CAN / RS485. Product Description. Technical Reference Note. Interface Adapter. Special Features CAN / Interface Adapter For SHP Series Total Power: < 1 Watts Input Voltage: 5V Internal Outputs: CAN,, USB, I 2 C Special Features Input Protocols: 1) using Modbus 2) CAN using modified Modbus Output

More information

LA Xpress. Data sheet

LA Xpress. Data sheet of Contents of Contents... 2 of s... 2 of s... 2 Revision history... 3 1 Features... 4 2 overview... 4 3 Connecting... 4 3.1 at a glance... 4 3.2 Minimum Host PC requirements... 5 3.3 Operating power...

More information

Review for Exam 3. Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions

Review for Exam 3. Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions Review for Exam 3 A/D Converter Power-up A/D converter (ATD0CTL2) Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions Write 0x85 to ATD0CTL4 to set at fastest conversion speed

More information

GIGAVAC Contactors I 2 C Communication

GIGAVAC Contactors I 2 C Communication Document Revision: 3 GIGAVAC Contactors I 2 C Communication Product models: MXST15/16-mm-ss, delay on break contactors. Attention: Read this instruction entirely for a top-level-feel of what you prefer

More information

An SPI Temperature Sensor Interface with the Z8 Encore! SPI Bus

An SPI Temperature Sensor Interface with the Z8 Encore! SPI Bus Application Note An SPI Temperature Sensor Interface with the Z8 Encore! SPI Bus AN012703-0608 Abstract This Application Note provides an overview of Zilog s Z8 Encore! Serial Peripheral Interface (SPI)

More information

M68HC08 Microcontroller The MC68HC908GP32. General Description. MCU Block Diagram CPU08 1

M68HC08 Microcontroller The MC68HC908GP32. General Description. MCU Block Diagram CPU08 1 M68HC08 Microcontroller The MC68HC908GP32 Babak Kia Adjunct Professor Boston University College of Engineering Email: bkia -at- bu.edu ENG SC757 - Advanced Microprocessor Design General Description The

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

RC1000-PP. Function Reference Manual

RC1000-PP. Function Reference Manual RC1000-PP Function Reference Manual RC1000-PP Function Reference Manual Microsoft and MS-DOS are registered trademarks and Windows, Windows 95, Windows 98 and Windows NT are trademarks of Microsoft Corporation.

More information

TPMC Channel Motion Control. User Manual. The Embedded I/O Company. Version 1.0. Issue 1.3 March 2003 D

TPMC Channel Motion Control. User Manual. The Embedded I/O Company. Version 1.0. Issue 1.3 March 2003 D The Embedded I/O Company TPMC118 6 Channel Motion Control Version 1.0 User Manual Issue 1.3 March 2003 D76118800 TEWS TECHNOLOGIES GmbH Am Bahnhof 7 25469 Halstenbek / Germany Phone: +49-(0)4101-4058-0

More information

SPI 3-Wire Master (VHDL)

SPI 3-Wire Master (VHDL) SPI 3-Wire Master (VHDL) Code Download Features Introduction Background Port Descriptions Clocking Polarity and Phase Command and Data Widths Transactions Reset Conclusion Contact Code Download spi_3_wire_master.vhd

More information

PARALLEL COMMUNICATIONS

PARALLEL COMMUNICATIONS Parallel Data Transfer Suppose you need to transfer data from one HCS12 to another. How can you do this? You could connect PORTA of the sending computer (set up as an output port) to PORTA of the receiving

More information

EMBED2000+ Data Sheet

EMBED2000+ Data Sheet EMBED2000+ Data Sheet Description The Ocean Optics EMBED2000+ Spectrometer includes the linear CCD-array optical bench, plus all the circuits necessary to operate the array and convert to a digital signal.

More information

Digital Thermometer and Thermostat in SOT23

Digital Thermometer and Thermostat in SOT23 General Description The digital thermometer and thermostat provides temperature readings that indicate the device s temperature. Thermostat settings and temperature readings are all communicated to/from

More information

Course Schedule. CS 221 Computer Architecture. Week 3: Plan. I. Hexadecimals and Character Representations. Hexadecimal Representation

Course Schedule. CS 221 Computer Architecture. Week 3: Plan. I. Hexadecimals and Character Representations. Hexadecimal Representation Course Schedule CS 221 Computer Architecture Week 3: Information Representation (2) Fall 2001 W1 Sep 11- Sep 14 Introduction W2 Sep 18- Sep 21 Information Representation (1) (Chapter 3) W3 Sep 25- Sep

More information

Serial ADC Interface Using a CoolRunner CPLD

Serial ADC Interface Using a CoolRunner CPLD Application Note: Coolunner CPLD XAPP355 (v1.1) January 3, 2002 Serial ADC Interface Using a Coolunner CPLD Summary This document describes the design implementation for controlling a Texas Instruments

More information

Digital Thermometer and Thermostat

Digital Thermometer and Thermostat General Description The DS75 digital thermometer and thermostat provides 9, 10, 11, or 12-bit digital temperature readings over a -55 C to +125 C range with ±2 C accuracy over a -25 C to +100 C range.

More information

PICTURE Camera Interface Functional Specification

PICTURE Camera Interface Functional Specification Rev ECO Date Change Summary Author 01 50-046 30 JAN 2006 Initial Draft D. Gordon 02 50-053 19 MAY 2006 Changed to multiplexed DMA, added testmode and FPGA D. Gordon version readback, other minor corrections,

More information

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide Introduction the Serial Communications Huang Sections 9.2,.2 SCI Block User Guide SPI Block User Guide Parallel Data Transfer Suppose you need to transfer data from one HCS2 to another. How can you do

More information

Reference Manual SPX-1. Eight Channel Analog Input Serial Peripheral Expansion (SPX ) Board and Development Kit DOC. REV. 9/8/2014

Reference Manual SPX-1. Eight Channel Analog Input Serial Peripheral Expansion (SPX ) Board and Development Kit DOC. REV. 9/8/2014 Reference Manual DOC. REV. 9/8/2014 SPX-1 Eight Channel Analog Input Serial Peripheral Expansion (SPX ) Board and Development Kit WWW.VERSALOGIC.COM 12100 SW Tualatin Road Tualatin, OR 97062-7341 (503)

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

DS75 Digital Thermometer and Thermostat

DS75 Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Temperature Measurements Require No External Components Measures Temperatures from -55 C to +125 C (-67 F to +257 F) 2 C Accuracy Over a -25 C to +100 C Range Thermometer Resolution

More information

Application Note, V1.0, Jul AP XC16x. Interfacing the XC16x Microcontroller to a Serial SPI EEPROM. Microcontrollers

Application Note, V1.0, Jul AP XC16x. Interfacing the XC16x Microcontroller to a Serial SPI EEPROM. Microcontrollers Application Note, V1.0, Jul. 2006 AP16095 XC16x Interfacing the XC16x Microcontroller to a Serial SPI EEPROM Microcontrollers Edition 2006-07-10 Published by Infineon Technologies AG 81726 München, Germany

More information

Product Family Specification

Product Family Specification Doc.Nr. 8260800.06 Product Family Specification Absolute pressure sensor SCP1000-D01 SCP1000-D11 Note: Reader is advised to notice that this Product Family Specification applies to SCP1000 version which

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Application Note Rev. 0, 4/2004 Software Drivers for Tango3 RF Transmitter and Romeo2 RF Receiver ICs By John Logan 8/16-Bit Division East Kilbride, Scotland Introduction This application note describes

More information

Tektronix DPO Demo 1 Board Instruction Manual

Tektronix DPO Demo 1 Board Instruction Manual xx ZZZ Tektronix DPO Demo 1 Board Instruction Manual www.tektronix.com *P071253900* 071-2539-00 Copyright Tektronix. All rights reserved. Licensed software products are owned by Tektronix or its subsidiaries

More information

Win-SPIUSB. Software User s Manual

Win-SPIUSB. Software User s Manual Win-SPIUSB Software User s Manual Information provided in this document is solely for use with Win-SPIUSB. The Boardshop and SB Solutions, Inc. reserve the right to make changes or improvements to this

More information

MAX11300/MAX11301 PIXI Configuration Software User Guide

MAX11300/MAX11301 PIXI Configuration Software User Guide MAX11300/MAX11301 PIXI Configuration Software User Guide Rev 0, 1/15 Maxim Integrated cannot assume responsibility for use of any circuitry other than circuitry entirely embodied in a Maxim Integrated

More information

Serial Peripheral Interface (SPI)

Serial Peripheral Interface (SPI) SPI = Simple, 3 wire, full duplex, synchronous serial data transfer Interfaces to many devices, even many non-spi peripherals Can be a master or slave interface 4 interface pins: -MOSI master out slave

More information

LABORATORIO DI ARCHITETTURE E PROGRAMMAZIONE DEI SISTEMI ELETTRONICI INDUSTRIALI. Laboratory Lesson 9: Serial Peripheral Interface (SPI)

LABORATORIO DI ARCHITETTURE E PROGRAMMAZIONE DEI SISTEMI ELETTRONICI INDUSTRIALI. Laboratory Lesson 9: Serial Peripheral Interface (SPI) LABORATORIO DI ARCHITETTURE E PROGRAMMAZIONE DEI SISTEMI ELETTRONICI INDUSTRIALI Laboratory Lesson 9: Serial Peripheral Interface (SPI) Prof. Luca Benini Prof Davide Rossi

More information

SPI Block User Guide V02.07

SPI Block User Guide V02.07 DOCUMENT NUMBER S12SPIV2/D SPI Block User Guide V02.07 Original Release Date: 21 JAN 2000 Revised: 11 Dec 2002 Motorola, Inc. Motorola reserves the right to make changes without further notice to any products

More information

CORELIS. BusPro-S High Speed Multi-IO SPI Host, Debugger, and Programmer. User s Manual. Corelis, Inc.

CORELIS. BusPro-S High Speed Multi-IO SPI Host, Debugger, and Programmer. User s Manual. Corelis, Inc. CORELIS 1 BusPro-S High Speed Multi-IO SPI Host, Debugger, and Programmer User s Manual Corelis, Inc. 13100 Alondra Blvd. Cerritos, CA 90703 Telephone: (562) 926-6727 Fax: (562) 404-6196 Preface Copyright

More information

DS1305. Serial Alarm Real Time Clock (RTC) FEATURES PIN ASSIGNMENT ORDERING INFORMATION

DS1305. Serial Alarm Real Time Clock (RTC) FEATURES PIN ASSIGNMENT ORDERING INFORMATION DS135 Serial Alarm Real Time Clock (RTC) FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 21 96 byte

More information

SPI Framework Module Guide

SPI Framework Module Guide Application Note Introduction This module guide will enable you to effectively use a module in your own design. Upon completion of this guide, you will be able to add this module to your own design, configure

More information

Using the Z8051 MCU s USI Peripheral as an SPI Interface

Using the Z8051 MCU s USI Peripheral as an SPI Interface Using the Z8051 MCU s USI Peripheral as an SPI Interface AN035901-0513 Abstract This document describes how to configure Zilog s Z8051 Universal Serial Interface (USI) peripheral to operate as Serial Peripheral

More information

spi 1 Fri Oct 13 13:04:

spi 1 Fri Oct 13 13:04: spi 1 Fri Oct 1 1:: 1.1 Introduction SECTION SERIAL PERIPHERAL INTERFACE (SPI) The SPI module allows full-duplex, synchronous, serial communication with peripheral devices.. Features Features of the SPI

More information

Digital Storage Oscilloscope

Digital Storage Oscilloscope Digital Storage Oscilloscope GDS-2000A Series OPTIONS USER MANUAL ISO-9001 CERTIFIED MANUFACTURER This manual contains proprietary information, which is protected by copyright. All rights are reserved.

More information

Announcements HW1 is due on this Friday (Sept 12th) Appendix A is very helpful to HW1. Check out system calls

Announcements HW1 is due on this Friday (Sept 12th) Appendix A is very helpful to HW1. Check out system calls Announcements HW1 is due on this Friday (Sept 12 th ) Appendix A is very helpful to HW1. Check out system calls on Page A-48. Ask TA (Liquan chen: liquan@ece.rutgers.edu) about homework related questions.

More information

output current sink/source 2 ma PIC 16F690 (see datasheet) SPI resolution 12 bit position accuracy 0.2 deg

output current sink/source 2 ma PIC 16F690 (see datasheet) SPI resolution 12 bit position accuracy 0.2 deg date 02/12/2013 page 1 of 7 SERIES: AMT203 DESCRIPTION: MODULAR ENCODER FEATURES 12 bit (4,096 positions) SPI communication small size 37mm incremental line count up to 1,024 single pulse index capacitive

More information

BLE 1.4 SPI Driver Design Version 1.x (Draft)

BLE 1.4 SPI Driver Design Version 1.x (Draft) BLE 1.4 SPI Driver Design Version 1.x (Draft) Document Number: TBD TABLE OF CONTENTS 1. FUNCTIONAL OVERVIEW... 1 2. DEFINITIONS, ABBREVIATIONS, ACRONYMS... 2 3. REVISION HISTORY... 2 4. SPI INTERFACE...

More information

Math 230 Assembly Programming (AKA Computer Organization) Spring 2008

Math 230 Assembly Programming (AKA Computer Organization) Spring 2008 Math 230 Assembly Programming (AKA Computer Organization) Spring 2008 MIPS Intro II Lect 10 Feb 15, 2008 Adapted from slides developed for: Mary J. Irwin PSU CSE331 Dave Patterson s UCB CS152 M230 L10.1

More information

DNP Points List and Implementation

DNP Points List and Implementation S&C IntelliCap Plus Automatic Capacitor Control DNP Points List and Implementation Table of Contents Section Page Section Page DNP Points List for IntelliCap Plus Controls...1 Status Points....2 Analog

More information

PIN ASSIGNMENT PIN DESCRIPTION

PIN ASSIGNMENT PIN DESCRIPTION www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from -55 C to +120 C. Fahrenheit equivalent is -67 F to +248 F Thermometer accuracy is ±2.0 C Thermometer

More information

I2C and SPI Foundation

I2C and SPI Foundation Revision 30 September 2010 Release I2C and SPI Foundation 17 March 2018 changed ref: command f to x Introduction I2C (I squared C) and SPI (Serial peripheral Interface) are two main ways that microcontrollers

More information

DS Wire Digital Thermometer and Thermostat

DS Wire Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Temperature measurements require no external components with ±1 C accuracy Measures temperatures from -55 C to +125 C; Fahrenheit equivalent is -67 F to +257 F Temperature resolution

More information

1.3inch OLED User Manual

1.3inch OLED User Manual 1.3inch OLED User Manual 1. Key Parameters Table 1: Key Parameters Driver Chip SH1106 Interface 3-wire SPI 4-wire SPI I2C Resolution 128*64 Display Size 1.3 inch Dimension 29mm*33mm Colors Yellow, Blue

More information

Block Diagram. mast_sel. mast_inst. mast_data. mast_val mast_rdy. clk. slv_sel. slv_inst. slv_data. slv_val slv_rdy. rfifo_depth_log2.

Block Diagram. mast_sel. mast_inst. mast_data. mast_val mast_rdy. clk. slv_sel. slv_inst. slv_data. slv_val slv_rdy. rfifo_depth_log2. Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset Supplied as human readable VHDL (or Verilog) source code mast_sel SPI serial-bus compliant Supports

More information

DS1305EN. Serial Alarm Real-Time Clock

DS1305EN. Serial Alarm Real-Time Clock Serial Alarm Real-Time Clock www.maxim-ic.com FEATURES Real-time clock (RTC) counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap-year compensation valid up to

More information

Digital Thermometer and Thermostat

Digital Thermometer and Thermostat Rev 1; 3/08 Digital Thermometer and Thermostat General Description The low-voltage (1.7V to 3.7V) digital thermometer and thermostat provides 9-, 10-, 11-, or 12-bit digital temperature readings over a

More information

Using the Hardware Interface Layer V2.0 in your FPGA Design

Using the Hardware Interface Layer V2.0 in your FPGA Design HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk www.hunteng.co.uk www.hunt-dsp.com Using

More information

The x86 Microprocessors. Introduction. The 80x86 Microprocessors. 1.1 Assembly Language

The x86 Microprocessors. Introduction. The 80x86 Microprocessors. 1.1 Assembly Language The x86 Microprocessors Introduction 1.1 Assembly Language Numbering and Coding Systems Human beings use the decimal system (base 10) Decimal digits: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Computer systems use the

More information

Reference Manual SPX-4. Four-channel Analog Output Serial Peripheral Expansion (SPX ) Board DOC. REV. 4/9/2013

Reference Manual SPX-4. Four-channel Analog Output Serial Peripheral Expansion (SPX ) Board DOC. REV. 4/9/2013 Reference Manual DOC. REV. 4/9/23 SPX-4 Four-channel Analog Output Serial Peripheral Expansion (SPX ) Board WWW.VERSALOGIC.COM 2 SW Tualatin Road Tualatin, OR 9762-734 (53) 747-226 Fax (97) 224-478 Contents

More information

ERRATA SHEET INTEGRATED CIRCUITS. Date: July 9, 2007 Document Release: Version 1.6 Device Affected: LPC2148

ERRATA SHEET INTEGRATED CIRCUITS. Date: July 9, 2007 Document Release: Version 1.6 Device Affected: LPC2148 INTEGRATED CIRCUITS ERRATA SHEET Date: July 9, 2007 Document Release: Version 1.6 Device Affected: LPC2148 This errata sheet describes both the functional deviations and any deviations from the electrical

More information

ZigBee Compliant Platform 2.4G RF Low Power Transceiver Module for IEEE Standard. DATA SHEET Version B

ZigBee Compliant Platform 2.4G RF Low Power Transceiver Module for IEEE Standard. DATA SHEET Version B ZMD400-A01 ZigBee Compliant Platform 2.4G RF Low Power Transceiver Module for IEEE 802.15.4 Standard DATA SHEET Version B Quan International Co., Ltd., ZMD400 Features Fully compliant 802.15.4 Standard

More information

MW100 CAN Bus Module Configuration Tool (MX118-CAN-M30/S1) User s Manual

MW100 CAN Bus Module Configuration Tool (MX118-CAN-M30/S1) User s Manual MW100 CAN Bus Module Configuration Tool (MX118-CAN-M30/S1) User s Manual 1 Contents Before Using the Software... 3 Explanation of Functions... 3 MX118 Part Names and Functions... 3 Operation Guide... 4

More information

REVISIONS LTR. ECN DESCRIPTION DATE APPROVED - NA Original Document 11/13/02

REVISIONS LTR. ECN DESCRIPTION DATE APPROVED - NA Original Document 11/13/02 REVISIONS LTR. ECN DESCRIPTION DATE APPROVED - NA Original Document 11/13/02 SHEET REVISION STATUS SHEET 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 REVISION - - - - - - - - - - CONTROLLED COPY DIST.

More information

DS1306 Serial Alarm Real-Time Clock

DS1306 Serial Alarm Real-Time Clock Serial Alarm Real-Time Clock www.maxim-ic.com FEATURES Real-Time Clock (RTC) Counts Seconds, Minutes, Hours, Date of the Month, Month, Day of the Week, and Year with Leap-Year Compensation Valid Up to

More information

PCI / PMC / CPCI / PCI-X Bus Analysis

PCI / PMC / CPCI / PCI-X Bus Analysis PCI / PMC / CPCI / PCI-X Bus Analysis Analyzer Exerciser Stimulus Target Anomaly Performance Compliance 850 System Analyzer/Exerciser Silicon Control Inc. introduces the ultimate analyzer and exerciser

More information

Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems

Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems Working with Quad and Other SPI Protocols Testing and Debugging (Quad-) SPI-based ASIC, FPGA, SoC and Embedded Systems By Alan Lowne, CEO, SaeligCo., Inc. and Frédéric Leens, sales and marketing manager,

More information

USB-900 Control Center User s Guide

USB-900 Control Center User s Guide Application Note USB-900 Control Center User s Guide APPLICABLE DEVICES This Application Note applies to the following Keterex devices: USB-910H Embedded Systems Interface. INTRODUCTION The USB-910H Embedded

More information

MICROCONTROLLER S PROGRAMMER

MICROCONTROLLER S PROGRAMMER MICROCONTROLLER S PROGRAMMER Todor Mladenov Mladenov, Vladimir Mladenov Mladenov Faculty of Communications and Communications Technologies, Faculty of Computer Systems and Control, Technical University

More information

LOG Storm. Data sheet

LOG Storm. Data sheet Revision 1.01 - February 2015 Table of Contents 1 Main features...4 2 LOG Storm Overview...4 2.1 LOG Storm at a glance...4 2.2 Minimum Host PC requirements...5 2.3 Operating power...5 2.4 USB and system

More information

ice40lm Barcode Emulation Solution Control Registers and Data Buffer

ice40lm Barcode Emulation Solution Control Registers and Data Buffer ice40lm Barcode Emulation Reference Design October 2013 General Description Reference Design RD1191 The ice40lm Barcode Emulation Reference Design allows any device with an LED to be able to transmit bar

More information

DPScope SE Programming Interface Description

DPScope SE Programming Interface Description DPScope SE Programming Interface Description Version 1.0.0 July 24, 2012 1 Introduction The DPScope SE is acting as a standard USB HID (Human Interface device). Key connection parameters are: Vendor ID

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

Health monitoring of an power amplifier using an ethernet controller

Health monitoring of an power amplifier using an ethernet controller Health monitoring of an power amplifier using an ethernet controller 1 Sharadha N, 2 J Pushpanjali 1 Student, 2 Assistant Professor Bangalore institute of technology Bangalore, India Abstract the computer

More information

Help Volume Hewlett Packard Company. All rights reserved. Toolsets: IA Format Utility

Help Volume Hewlett Packard Company. All rights reserved. Toolsets: IA Format Utility Help Volume 1997-2002 Hewlett Packard Company. All rights reserved. Toolsets: IA Format Utility Using the IA Format Utility The IA Format Utility tool lets you convert a.r (dot R) file into an inverse

More information