Kompilátor pre jazyky HSSL a VHDL

Size: px
Start display at page:

Download "Kompilátor pre jazyky HSSL a VHDL"

Transcription

1 Slovenská technická univerzita v Bratislave FAKULTA ELEKTROTECHNIKY A INFORMATIKY Študijný odbor: INFORMATIKA Bc. Štefan NOVÁK Kompilátor pre jazyky HSSL a VHDL Diplomová práca Vedúci diplomovej práce: Ing. Juraj Štefanovič, PhD. December 2002

2 ANOTÁCIA Slovenská technická univerzita v Bratislave FAKULTA ELEKTROTECHNIKY A INFORMATIKY Študijný odbor: INFORMATIKA Autor: Bc. Štefan Novák Diplomová práca: Kompilátor pre jazyky HSSL a VHDL Vedenie diplovej práce: Ing. Juraj Štefanovič, PhD. Kľúčové slová: jazyky pre opis číslicových zariadení, systémová úroveň abstrakcie, transformácia opisov, prechod na nižšiu úroveň abstrakcie, číslicové zariadenia, jazyk HSSL, jazyk VHDL Rok publikácie: december, 2002 Prezentovaná diplomová práca sa zaoberá problémom transformácie opisu číslicových systémov v jazyku HSSL do jazyka VHDL. Jazyk HSSL je jazyk opisujúci systém na systémovej úrovni a existencia opisu v jazyku VHDL je dôležitá z hľadiska syntézy daného systému do cieľovej architektúry. Úlohou práce bolo navrhnúť postupy a metódy transformácie medzi opismi a implementácia programového vybavenia podporujúca tento proces. Pri transformácii je používaný ako formálny model opisu systému Petriho sieť. Implementovaná aplikácia transformácie je rozšírením pôvodného systému, ktorý umožňuje preklad a simuláciu opisu v jazyku HSSL. Aplikácia je implementovaná v programovacom jazyku Java. Výsledkom tejto práce je návrh postupov pri transformácii opisu z jazyka HSSL do jazyka VHDL a rozšírenie pôvodného programového systému o funkciu transformácie. Aplikácia umožňuje syntézu systému v jazyku HSSL do cieľovej architektúry prostredníctvom jazyka VHDL.

3 ANOTATION Slovak University of Technology Bratislava FACULTY OF ELECTRICAL ENGINEERING AND INFORMATION TECHNOLOGY Degree course: Author: Thesis: Supervisor: Keywords: 2002, December INFORMATICS Bc. Štefan Novák Compiler from HSSL language to VHDL language Ing. Juraj Štefanovič, PhD. Hardware Description Language, System Design Language, Hardware Software Specification Language, Transformation HSSL to VHDL, Digital System, VHDL, HSSL The presented diploma work is dealing with the problem of transformation description of the digit system from HSSL language to VHDL language. HSSL is language describing system on the system level design, the existence of the description in VHDL language is important to the aspect of synthesis of the given system to the destination architecture. The objective of the work was to design processes and methods of transformation between descriptions and the implementation of program s accessories support this process. As the formal model of describing the system is by the transformation used Petri nets. The implemented application of the transformation is enlarging of the authentic system, which allows compilation and simulation description in HSSL language. Application is implemented in programming language Java. The result of the work is an application of actions by the transformation of description from HSSL language to VHDL language and the enlarging the original program system by transformation function. The application allows the synthesis of system in HSSL language to the destination architecture through VHDL language.

4 Poďakovanie Aj touto cestou by som sa chcel poďakovať prof. Ing. Norbertovi Frištackému, PhD. a vedúcemu diplomovej práce Ing. Jurajovi Štefanovičovi, PhD. za ich pomoc, pripomienky, návrhy a konštruktívne rady pri riešení diplomovej práce.

5 Čestné prehlásenie Čestne prehlasujem, že som túto diplomovú prácu vypracoval samostatne, len s použitím uvedenej literatúry....

6 vii OBSAH 1 Úvod Analýza problému Použitie jazykov HDL Jazyk VHDL Jazyk HSSL Porovnanie jazykov Analýza možností transformácie Návrh riešenia Petriho siete Opis Petriho siete vo VHDL Základný návrh transformácie Transformácia údajových typov Transformácia časovacích pravidiel Transformácia akcií Transformácia agentov a procesov Transformácia štartovacej štruktúry Transformácia výnimiek Optimalizácia opisu Implementácia Špecifikácia požiadaviek Implementácia prekladača Overenie funkčnosti Opis súvisu s diplomovým projektom Zhodnotenie Príloha A Vytvorenie Petriho siete z komunikačnej formuly Príloha B Vytvorenie Petriho siete z procesnej formuly Príloha C Používateľská príručka C.1 Inštalácia C.2 Aplikácia C.3 Odinštalovanie aplikácie C.4 Minimálne systémové požiadavky Príloha D Elektronický nosič Zoznam použitých prameňov... 77

7 viii Zoznam použitých skratiek ASIC - Application Specific Integrated Circuit Zákaznícky integrovaný obvod klasického typu, ktorého funkcia je vytvorená pomocou masiek pri výrobe obvodu. EDA - Electronic Design Automation Pod skratkou EDA vystupujú tretie firmy, ktoré sa zaoberajú špeciálne vývojom softvérových návrhových systémov pre číslicové systémy. Ide o firmy ako napríklad: Mentor Graphics, Cadence, Synopsys, Aldec a pod. FPGA - Field Programmable Gate Array Typ programovateľných logických obvodov s vysokou hustotou integrácie. Ich výhodou je rýchlejší a lacnejší návrh oproti ASIC obvodom. Nevýhodou je rýchlosť a veľkosť s porovnaním identických ASIC obvodov. HDL - Hardware Description Language Jazyky pre opis funkcie číslicových systémov. V súčasnosti sa používajú pri návrhoch ASIC, ale aj FPGA obvodov. Umožňujú znižovanie doby návrhu obvodov a ich cenu. Väčšina návrhových softvérových nástrojov v súčasnosti umožňuje zmiešaný návrh pomocou jazykov VHDL a Verilog. HSSL - Hardware Software Specification Language Jazyk HDL umožňujúci opis číslicového systému pomocou vyššej úrovne abstrakcie. Patrí medzi SDL jazyky. Opis funkcie systému je založený na vstupno-výstupnej komunikácii, pričom samotný systém predstavuje čiernu skrinku. IEEE - Institute of Electrical and Electronics Engineers Technická nezisková organizácia združujúca množstvo inžinierov z mnohých krajín sveta zaoberajúca sa podporou inžinierskych procesov, integráciou, využitím a zdieľaním vedomostí o elektronických, informačných technológiách a vedách. Bola založená v roku 1963 zlúčením AIEE (The American Institute of Electrical Engineers) a IRE (The Institute of Radio Engineers).

8 ix RTL - Register Transfer Level Úroveň abstrakcie medziregistrových prenosov. Na tejto úrovni je systém opísaný pomocou registrov a operácií realizovaných nad danými registrami. Úroveň medziregistrových prenosov je špeciálnym prípadom funkčnej úrovne. SDL - System Design Language HDL jazyky, ktoré opisujú funkciu číslicového systému pomocou systémovej úrovne. Systémová úroveň patrí medzi vyššie úrovne abstrakcie. Medzi SDL jazyky patria napríklad: HSSL, SystemC, SpecC, Ocapi a pod. SOC - System on Chip Ide o čipy, ktoré dovoľujú integráciu širokého spektra zložitých funkcií, ktoré sa implementujú na jednom čipe. Používajú sa prevažne v telekomunikačnom trhu. VHDL - Very high silicon integrated circuits High Description Language Jazyk HDL vyššej úrovne pre opis číslicových obvodov. V súčasnosti je podporovaný prevažným množstvom softvérových prostriedkov. Jazyk je štandardizovaný organizáciou IEEE. VLSI - Very Large Scale Integration Vysoká úroveň integrácie, označenie číslicových obvodov s vyšším stupňom zložitosti ich architektúry.

9 1 Úvod 1 1 Úvod Vďaka obrovskému technologickému pokroku v oblasti kremíkových technológií je v dnešnej dobe možné integrovať celé systémy pozostávajúce z veľkého množstva integrovaných obvodov na jediný čip. Tradičné metódy už súčasným požiadavkám nepostačujú, a preto sa hľadajú stále nové postupy pre udržanie kroku s týmto vývojom. Do popredia záujmu návrhárov sa tak dostávajú nové metódy návrhu súčiastok a číslicových systémov. V súčasnej dobe sa pre návrh systémov používajú softvérové návrhové prostriedky typu CAD, bez ktorých si túto oblasť dnes vieme už len veľmi ťažko predstaviť. Tieto prostriedky podporujú kompletný proces od návrhu až po implementáciu daného obvodu. Vstupom pre tieto softvérové nástroje sú opisy obvodov v HDL (Hardware Description Language) jazykoch. Pre návrh integrovaných obvodov ASIC (Application Specific Integrated Languages), alebo FPGA (Field Programmable Gate Array) a iné sa v súčasnej dobe používajú predovšetkým jazyky VHDL (VHSIC Hardware Description Language) a Verilog. Tieto jazyky sú vhodné pre opis systémov na úrovni medziregistrových prenosov (Register Transfer Level). Pre neustále sa zvyšujúcu zložitosť systémov (podľa Moorovho pravidla sa počet tranzistorov na čipe zdvojnásobuje v priebehu 18 mesiacov) sa do popredia záujmu dostávajú jazyky umožňujúce vyššiu úroveň abstrakcie. Takýmto jazykom je aj jazyk HSSL (Hardware Software Specification Language) určený pre opis systému pomocou systémovej úrovne. Nevýhodou používania tohto jazyka je jeho úzka špecializácia bez možnosti syntézy do nižších úrovní. Medzi ďalšie nevýhody jazyka patrí vlastná syntax a sémantika jazyka, ktorá sa líši od používaných iných jazykov. Spomenuté nevýhody jazyka sa snažíme eliminovať pomocou transformácie opisu do iného štandardne používaného jazyka v našom prípade jazyka VHDL. Cieľom tejto práce je návrh postupov a algoritmov pre transformáciu opisu v jazyku HSSL do jazyka VHDL. Transformáciu medzi týmito jazykmi nie je možné realizovať priamo, ale pomocou určitého modelu. Ako modelovací nástroj použijeme Petriho siete, ktoré umožňujú jednoduché a výstižné modelovanie paralelných a asynchrónnych systémov. V práci využijeme už realizované softvérové prostriedky pre preklad opisu v jazyku HSSL a jeho transformáciu do vnútorných údajových štruktúr. Všetky podporné softvérové nástroje sú implementované v jazyku JAVA. Práca pozostáva z troch hlavných častí. Prvá časť obsahuje analýzu problému transformácie opisov medzi danými jazykmi. Obsahuje opis a vzájomné porovnania jazykov VHDL a HSSL. Druhá časť je venovaná návrhom postupov a algoritmov potrebných pre transformáciu medzi jazykmi. V tretej časti sa venujeme implementácii podporného softvérového systému.

10 1 Úvod 2 Výsledkom tejto práce je návrh postupov a algoritmov potrebných pre transformáciu opisu v jazyku HSSL do jazyka VHDL. Ďalším produktom tejto práce je implementovaná aplikácia prekladača umožňujúca automatizovanú transformáciu opisov. Aplikácia je implementovaná pomocou programovacieho jazyka Java. Výsledky tejto práce umožňujú širšie použitie jazyka HSSL. Hlavným prínosom práce je možnosť syntézy z vyšších úrovní abstrakcie systému až po úroveň, ktorú umožňuje syntéza jazyka VHDL. Prakticky s ďalšími podpornými prostriedkami umožňujúcich syntézu z jazyka VHDL do konkrétnej architektúry je možná realizácia kompletného procesu vývoja systému, cez špecifikáciu, verifikáciu, až po implementáciu do konkrétnej architektúry. Výsledok tejto práce je vhodný predovšetkým pre študentov zaujímajúcich sa o danú problematiku, ako aj pre budúce rozširovanie možností a použiteľnosti jazyka HSSL.

11 2 Analýza problému 3 2 Analýza problému V nasledujúcich častiach si priblížime základné informácie o danej problematike. V časti 2.1 sa nachádza všeobecný úvod do problematiky HDL jazykov. V časti 2.2 a 2.3 sú uvedené základné charakteristiky opisu číslicových systémov v jazykoch VHDL a HSSL. V nasledujúcej časti 2.4 sa nachádza porovnanie základných vlastností daných jazykov a v časti 2.5 sa nachádza analýza možností transformácie jazyka HSSL do jazyka VHDL. 2.1 Použitie jazykov HDL Ako už bolo spomenuté, výrobcovia elektronických súčiastok v posledných rokoch dosiahli veľký pokrok v integrácii vďaka novým technológiám. Aj jednoduché návrhy priemernej veľkosti sú v súčasnej dobe zložitejšie a pri ich výrobe sa používa stále väčší počet súčiastok. Tradičné návrhy systémov použitím schém sa stávajú nezvládnuteľne zložitými a časovo náročnými. Preto sa použitie jazykov HDL stáva nevyhnutnosťou [17]. Ďalšou výhodou použitia HDL jazykov je implementačná nezávislosť daného systému, ktorého funkcia je opísaná pomocou niektorého štandardne používaného jazyka. V súčasnej dobe sú najviac rozšírené dva HDL jazyky, a to jazyk VHDL (prevažne v Európe) a jazyk Verilog (mimo Európy) [15]. Podporné softvérové nástroje v súčasnosti umožňujú vytvorenie, preklad, verifikáciu pomocou simulácie, syntézu systému, ako aj rozmiestnenie a prepojenie súčiastok na doske. Vytvorenie opisu je okrem iného možný aj pomocou vizuálnych nástrojov ako sú stavové diagramy, vývojové diagramy alebo pravdivostné tabuľky. Tieto aplikácie sú integrované do väčších systémov ako je napríklad FPGA Advantage od firmy Mentor Graphics [18]. Ďalšími firmami dodávajúce kompletné systémy sú napríklad firma Cadence alebo firma Synopsys. Tieto firmy sú známe pod skratkou EDA (Electronic Design Automation), ktoré predstavujú tretie firmy [1]. Tieto firmy sa špeciálne zaoberajú vývojom týchto systémov. Nevýhodou systémov firiem EDA je absencia syntézy systému do konkrétnej architektúry (FPGA, CPLD, PLD ). Tieto aplikácie poskytujú prevažne výrobcovia konkrétnej architektúry. Kompletný návrhový proces systému sa skladá z viacerých etáp [17]. Prvou etapou a značne dôležitou je špecifikácia systému. Pod špecifikáciu systému rozumieme opis správania a iných vlastností systému ako celku. Správne vytvorená špecifikácia uľahčuje samotný návrh systému a je základom dokumentácie výsledného produktu. Špecifikácia systému môže byť opísaná buď pomocou

12 2 Analýza problému 4 špeciálneho jazyka pre oblasť špecifikácie, alebo pomocou SDL (System Design Languages) návrhových jazykov, ktoré sú vo väčšine prípadov nadstavbami alebo modifikáciami jazykov C a C++ (SystemC, SpecC, Ocapi a pod.). My sa budeme zaoberať jazykom HSSL, ktorý bol vypracovaný na našej fakulte. Na tejto úrovni ešte nerozhodujeme o konečnej podobe systému (hardvérové alebo softvérové riešenie), preto jazyky musia umožňovať opis ako systémov hardvérových, tak aj systémov softvérových. V nasledujúcom kroku je dôležité rozhodnutie riešenia na softvérovú alebo harvérovú časť. Obe riešenia majú svoje výhody a nevýhody [15]. Výhodou softvérového riešenia je rýchlejší a lacnejší návrh. Je vhodný predovšetkým pri realizácii prototypov. Výhodou hardvérového riešenia je rýchlosť alebo nižšia spotreba. Nevýhodou je jeho cena. Syntéza z tejto úrovne abstrakcie (systémová úroveň) na úroveň nižšiu, do úrovne medziregistrových prenosov nie je dostatočne vo svete spracovaná. Tento problém sa snažíme riešiť v našej práci transformáciou opisu jazyka HSSL do jazyka VHDL. Nasledujúcou etapou v návrhovom procese je návrh systému na funkčnej úrovni, zväčša na úrovni medziregistrových prenosov, ktorá je špeciálnym prípadom funkčnej úrovne. Pri tomto návrhu sa používajú jazyky VHDL, Verilog a iné. Automatizovaná syntéza na nižšiu úroveň z úrovni medziregistrových prenosov v súčasnej dobe už nepredstavuje problém ako syntéza zo systémovej úrovne. Pri návrhu v tejto etape je výhodné použiť niektorý z množstva návrhových systémov ako napríklad už spomínaný FPGA Advantage. Keďže rastie zložitosť FPGA obvodov rastie aj zložitosť návrhov. V súčasnej dobe je možné pomocou FPGA systémov implementovať dokonca aj obvody integrujúce celé systémy na jeden čip - SoC (System on Chip). Preto sa pri zložitých systémoch často používajú tzv. IP (Intellectual Property) makrá. Ide o už vytvorené a testované obvody, ktoré je možné opätovne použiť v navrhovanom systéme. IP makrá nepredstavujú fyzicky realizované obvody, ale len virtuálne súčiastky, ktoré sú opísané v niektorom HDL jazyku, alebo iným podobným spôsobom s príslušnou dokumentáciou. V súčasnosti existujú IP makrá, ktoré predstavujú kompletné aritmetické jednotky alebo vstupno-výstupné rozhrania a podobne. IP makrá sa rozdeľujú podľa toho v akej forme sú poskytované dodávateľom [15]. Môže pri tom ísť o Soft, Firm, alebo Hard makrá. Soft makrá sú dodávané v HDL jazykoch, a sú technologicky nezávislé. Firm makrá predstavujú už syntetizované opisy, ktoré sú dodávané v tvare netlistov. Hard makrá sú dodávané ako kompletné návrhy systémov pre danú technológiu v tvare hotovej topografie daného čipu. Po samotnom návrhu prichádza k verifikácii daného systému. Verifikácia je realizovaná prevažne pomocou simulátora. Simulátor umožňuje verifikáciu správnosti funkcie daného systému a verifikáciu časovania. Pri nesprávnej funkcii je nutné upraviť opis systému a opätovne verifikovať. Pred konečnou implementáciu systému do konkrétnej architektúry je nutné obvod syntetizovať. Ide o transformáciu opisu na úrovni registrov do nižšej úrovne, a to úrovne hradiel. Pri konkrétnej

13 2 Analýza problému 5 architektúre je nutné, aby proces syntézy rešpektoval ohraničenia danej technológie. Niekedy je nutný ručný zásah do procesu syntézy. Poslednou etapou je mapovanie a fyzické rozmiestnenie hradiel na čipe. Túto etapu prevažne realizujú softvérové systémy výrobcov konkrétnej architektúry, pre ktorú je daný systém určený. V každej etape návrhu sa pracuje s inou úrovňou abstrakcie, pričom v začiatočných fázach návrhu je použitá systémová úroveň a v záverečných fázach je použitá úroveň technologická. Nižšie úrovne sú podporované automatizovanými softvérovými systémami, takže návrhár prakticky s týmito úrovňami nepracuje. Jazyky ako VHDL a Verilog pracujú s funkčnou úrovňou a nižšie, pričom niekedy nahradzujú aj úroveň systémovú. Pre systémovú úroveň je lepšie použiť jazyky ako SpecC, SystemC alebo jazyk HSSL. Nevýhodou použitia týchto jazykov je nemožnosť automatizovaného prechodu na úroveň nižšiu. Používané úrovne abstrakcie sú zobrazené na obr. 1. Úroveň medziregistrových prenosov je špeciálnym prípadom funkčnej úrovne. Niekedy sa však uvádza ako samostatná úroveň, z dôvodov jej širokého používania. ZLOŽITOSŤ NÁVRHU DOBA NÁVRHU SDL SYSTÉMOVÁ ÚROVEŇ FUNKČNÁ ÚROVEŇ ÚROVEŇ REGISTROV ÚROVEŇ LOGICKÝCH HRADIEL ELEKTRONICKÁ ÚROVEŇ TECHNOLOGICKÁ ÚROVEŇ HDL Obr. 1: Používané úrovne abstrakcie pri opise systému pomocou HDL jazykov Smer vývoja systémov vedie k integrácii aplikácií do jedného kompaktného celku, kedy návrhár nemusí používať prostriedky rôznych firiem. Snaha vývojárov je podpora kompletného procesu návrhu a vývoja v softvérovom systéme, a to nie len číslicových systémov, ale aj analógových popr. zmiešaných. Medzi ďalšími novými vlastnosťami by nemala chýbať podpora systémov, ktoré pozostávajú z hardvérového aj softvérového riešenia a ich spoločnej simulácii. Vývoj HDL jazykov je vo svete roztrieštený, kedy sú vyvíjané prevažne systémy pre okruh špeciálnych problémov. Ďalším zjavným trendom vo svete je približovanie syntaxe a sémantiky jazykov k jazykom C, C++ alebo Java. Okrem toho vznikajú pokusy s použitím objektovo orientovaného programovania (OOP).

14 2 Analýza problému Jazyk VHDL Skratka VHDL znamená VHSIC Hardware Description Language, pričom VHSIC je skratka pre Very High Speed Integrated Circuit [3][14]. Jazyk VHDL patrí spolu s jazykom Verilog medzi najrozšírenejšie jazyky používané pri modelovaní číslicových zariadení [15]. Tento jazyk podporuje množstvo komerčných aj nekomerčných aplikácií, ktoré sú prístupné na rôznych softvérových platformách. Jazyk VHDL sa vyvíja už od roku 1981 na požiadavku amerického ministerstva obrany. Požiadavkou bolo vyvinutie jazyka so širokými možnosťami opisu, ktorý bude interpretovaný súhlasne rôznymi simulátormi, a bude nezávislý na technológii a návrhovej metodike. V rokoch 1993 až 1995 pracovalo na špecifikácii viacero firiem ako napríklad Intermetrics, IBM, Texas Instruments v rámci projektu VHSIC. Prvá norma bola formulovaná už v roku 1986, ale prvá rozšírená norma IEEE sa objavila o rok neskôr. Jazyk VHDL sa používa na dokumentáciu, verifikáciu a syntézu číslicových obvodov. VHDL je technologicky nezávislý jazyk, ktorý sa nespája s konkrétnou technológiou. Je podporovaný väčšinou systémov firiem EDA. Niekedy sa používa aj pri vyšších úrovniach abstrakcie, konkrétne na systémovej úrovni alebo aj pri tvorbe špecifikácie. Opis jazyka VHDL možno nájsť v prácach [3][8] alebo [14]. VHDL podporuje väčšiu časť z úrovní abstrakcie, pomocou ktorých je možné opísať funkciu zariadenia. Základnými podporovanými úrovňami sú úroveň logických obvodov, úroveň medziregistrových prenosov a funkčná úroveň. Návrhár môže systém opísať dvoma základnými prístupmi, a to opisom správania a opisom štruktúry. Opis správania pripomína klasické programovanie softvérových aplikácií a umožňuje opis systému na funkčnej úrovni. Základným stavebným prvkom pre opis správania je proces, v ktorom sa všetky príkazy realizujú paralelne. Paralelne sú realizované aj príkazy, ktoré sa nachádzajú v bloku alebo procedúre. Jazyk umožňuje pri tomto spôsobe opisu použiť klasické konštrukcie ako if-then-else, switch-case, for a iné. Ďalej umožňuje použitie funkcií. Premenné sú deklarované kľúčovým slovom variable. Opis štruktúry je založený na opise štruktúrnych blokov, ktoré sú tvorené základnými logickými členmi, a ich vzájomného prepojenia. Prepojenia daných častí sú realizované pomocou signálov, ktoré predstavujú fyzické cesty medzi logickými členmi. Signály na rozdiel od premenných nadobúdajú hodnoty s istým časovým oneskorením, ktoré je dané implicitne tzv. δ oneskorením alebo explicitne daným opisom. Opis systému pomocou funkčnej úrovne je vhodný ak nás nezaujímajú detaily implementácie a nepotrebujeme daný opis následne syntetizovať. Syntéza z funkčnej úrovne je komplikovaná a ak je realizovaná, zväčša výsledný produkt nespĺňa naše požiadavky. Pre potrebu syntézy obvodu je vhodná úroveň medziregistrových

15 2 Analýza problému 7 prenosov. Z tejto úrovne je možný automatický prechod do úrovne logických hradiel. Jazyk VHDL nie je ideálny prostriedok pre opis na systémovej úrovni. V tejto oblasti môže byť síce VHDL s čiastočným úspechom použitý, ale vhodnejší je pre nižšie úrovne opisu. Postup návrhu integrovaného obvodu vo VHDL je znázornený na obr. 2. Východisková špecifikácia rozdelenie do blokov - zjemňovanie Popis blokov vo VHDL Testovací opis vo VHDL SIMULÁCIA VERIFIKÁCIA SYNTÉZA SIMULÁCIA VERIFIKÁCIA Výroba súčiastky Obr. 2: Návrh číslicového systému vo VHDL Jazyk VHDL stavia na troch nezávislých modeloch: model štruktúry model je založený na princípe opisu funkcie číslicového obvodu prostredníctvom jeho prvkov a vzájomného prepojenia týchto prvkov model správania sa model využíva vzťah medzi výstupnými a vstupnými hodnotami číslicového obvodu, pričom nešpecifikuje štruktúru obvodu model času ide o časovacie pravidlá, ktoré špecifikujú správanie systému v spojitom čase

16 2 Analýza problému 8 Výhodami jazyka VHDL sú: podpora viacerých úrovní abstrakcie možnosť prelínania opisu na rôznych úrovniach abstrakcie, kombinácia opisu na úrovni správania s opisom na úrovni logických obvodov možnosť hierarchického návrhu systému podpora viacerých blokov a ich vzájomné previazanie, podpora balíkov a pod. možnosť simulácie každého bloku systému zvlášť možná simulácia výlučne jedného bloku (entity), nezávisle od zostávajúceho systému verifikácia špecifikácie špecifikáciu systému môžeme verifikovať simuláciou oddelená funkcia od implementácie pri použití vyšších úrovní opisu je implementácia systému závislá na použitom nástroji pre syntézu vyššia produktivita možnosť opätovného použitia už navrhnutých obvodov technologická a nástrojová nezávislosť jazyk VHDL je štandardizovaný, takže by mal byť implementovaný všetkými nástrojmi rovnako široká podpora jazyk VHDL je široko používaný a rozšírený, a preto ho podporuje väčšina softvérových a iných nástrojov spojitý čas časovanie v opise VHDL je možné v spojitom čase Nevýhodami jazyka VHDL sú: zložitý spôsob opisu široké opisné možnosti jazyka komplikujú syntax a sémantiku jazyka nevhodný pre systémovú úroveň oproti jazyku HSSL je nevhodný pre opis systému na systémovej úrovni, aj keď umožňuje čiastočné riešenia na tejto úrovni Aj keď jazyk VHDL bol prvotne určený pre návrh číslicových systémov v súčasnej dobe existuje rozšírený štandard o analógové a zmiešané obvody. Ide o štandard IEEE IEEE Standard VHDL Analog and Mixed-Signal Extensions, skrátene označovaný ako VHDL-AMS.

17 2 Analýza problému Jazyk HSSL Jazyk HSSL (Hardware Software Specification Language) patrí medzi jazyky SDL (System Design Language), ktoré umožňujú opísať systém pomocou vyššej úrovne abstrakcie. Konkrétne jazyk opisuje systém na systémovej úrovni, pričom môže ísť o opis hardvéru alebo softvéru. Jazyk HSSL umožňuje špecifikáciu systému pomocou opisu správania v diskrétnom čase a opisu časovacej disciplíny v spojitom čase [9]. Vyššia úroveň abstrakcie opisu systému nadobúda v súčasnej dobe stále väčší význam dôsledkom zvyšovania zložitosti navrhovaných systémov. Problémom zostáva automatizovaný prechod do nižších úrovní, ktoré sú vhodné pre cieľovú implementáciu systému do konkrétnej architektúry. Základy modelu, z ktorého vychádza jazyk HSSL možno nájsť v knihe [10]. Jazyk HSSL je orientovaný na funkcionálny opis systému (subsystémov, modulov) ako čiernej skrinky obr. 3 [13]. Opis správania systému pozostáva z dvoch základných entít, a to z procesov a agentov. Systém opísaný pomocou jazyka HSSL je založený na vstupno-výstupnej komunikácii s okolím, pričom nie je dôležité akým spôsobom reaguje na vstupy z okolia a ako generuje svoje výstupy smerom do okolia. Funkcia systému je špecifikovaná výlučne vstupno-výstupnou komunikáciou systému s okolím. Jedná sa o závislosť výstupných slov na stavoch a vstupných slovách. vstupy systém výstupy Obr. 3: Systém v jazyku HSSL ako čierna skrinka Ľubovoľný číslicový systém pozostáva (môže pozostávať) z viacerých konečných stavových strojov (FSM), ktoré medzi sebou môžu vzájomne komunikovať [10]. V jazyku HSSL sú individuálne konečné stavové stroje reprezentované pomocou agentov a procesov. Tieto entity navzájom komunikujú pomocou stavových premenných systému. Tento model v podstate vyjadruje správanie systému vzájomne spriahnutých autonómnych konečných stavových strojov.

18 2 Analýza problému 10 Ako už bolo spomenuté, hierarchia opisu v jazyku HSSL sa zakladá na tzv. agentoch a procesoch. Agent opisuje čiastočné správanie číslicového systému na konečnom časovom intervale. Agent je daný: I/O Communication Set (CS) expression komunikačný výraz obsahuje zápis komunikačnej množiny, ktorá obsahuje všetky vstupno/výstupné slová, ktoré sa pri komunikácii systému s okolím môžu vyskytnúť Final State function (FS) definuje koncový stav systému po vykonaní (exekúcii) daného agenta, ide o priradenie koncových hodnôt stavovým premenným pomocou množiny priraďovacích príkazov Output Variables value setting function (OV) priradenie hodnôt výstupným premenným systému na základe hodnôt vstupných a stavových premenných, pričom tieto hodnoty sa v systéme môžu vypočítavať priebežne počas vykonávania agenta Timing discipline in continuous time (TR) množina časovacích pravidiel, ktoré špecifikujú časovaciu disciplínu v spojitom čase Agent môže špecifikovať ľubovoľne zložitú komunikáciu, ktorá je daná pomocou komunikačného výrazu. Koncový stav agenta, ktorý skončil, je zároveň začiatočným stavom niektorého iného agenta. Výstupné slovo 1 agenta je vytvorené na základe začiatočného stavu agenta a prijatého vstupného slova 2. Agent môže mať aj triviálnu komunikáciu, ktorá sa skladá z dvoch akcií. Ide o jedno-cyklový agent, pri ktorom dva diskrétne body času vymedzujú najkratší, ďalej už nedeliteľný časový cyklus. Takéto agenty nazývame aj mikroagenty. Proces pozostáva z kompozície agentov. Vykonávanie agentov v procesoch je dané procesnou formulou, ktorá špecifikuje vykonávanie daných agentov na základe premenných systému. Tento spôsob hierarchie systému je silnou vlastnosťou jazyka, ktorá umožňuje opis s rôznou mierou potrebnej informácie o danom systéme resp. o jednotlivých podsystémoch. Je možná dekompozícia systému až na úroveň mikroagentov, ktoré definujú elementárne správanie systému. V jazyku HSSL sa pre vyjadrenie závislosti výstupných premenných na vstupných a stavových premenných používa elementárna jednotka akcia. Akcia predstavuje trojicu a=(v; e; h), kde v=(v 1, v 2,..., v m ) je vstupný vektor, h=(h 1, h 2,..., h n ) je výstupný vektor a e je časovacia udalosť. Časovacia udalosť špecifikuje bod diskrétneho času, v ktorom je daná komunikácia realizovaná. 1 Výstupné slovo systému je konečná postupnosť výstupných vektorov h=(y 1, Y 2,, Y n ), ktoré systém produkuje v diskrétnom čase. Kde Y 1, Y 2,, Y n sú hodnoty danej premennej v danom čase t. 2 Vstupné slovo systému je konečná postupnosť vstupných vektorov v=(x 1, X 2,, X n ), ktoré systém indikuje v diskrétnom čase. Kde X 1, X 2,, X n sú hodnoty danej premennej v danom čase t.

19 2 Analýza problému 11 Štartovanie agentov (procesov) je realizované na základe štartovacích funkcií. Štartovacia funkcia je daná dvojicou Stf(A)=(STE, PAR), kde A je agent (process), STE je štartovací výraz a PAR je množina procesov, ktoré môžu byť vykonávané súčasne s agentom (procesom) A. Štartovací výraz je logická výroková formula i=1.. k (e i, c i ), kde e i je časovacia udalosť a c i je predikát tvorený premennými systému. Daný agent (proces) A je spustený pri objavení udalosti e i a splnení podmienky c i. Časovacia udalosť e i potom nahrádza prvú časovaciu udalosť agenta es. Špeciálnou časovacou udalosťou je udalosť ez, ktorá sa objaví vždy pri začiatku činnosti systému. Bližšie informácie o jazyku HSSL a použitého modelu možno nájsť v prácach [9][10][11] [12][13]. Ďalšou základnou vlastnosťou jazykov na systémovej úrovni, ako aj jazyka HSSL, je možnosť zjemňovania opisu (refinement). Pri zjemňovaní opisu ide o kreatívny proces, pri ktorom sa návrhár snaží zvýšiť mieru informácií o danom systéme resp. podsystéme, ktorý je pre neskoršie použitie z rôznych dôvodov nevyhnutný. V zásade rozpoznávame dva typy zjemňovania špecifikácie v jazyku HSSL [16], a to zjemňovanie komunikačného výrazu agenta (kompozícia akcií v agentovi) a zjemňovanie špecifikácie systému pomocou transformácie zložitého agenta na proces, ktorý spriaha jednoduchšie agenty. Postup pri zjemňovaní opisu znázorňuje obr. 4 (prebraté z [9]). Ďalej je možné zjemňovanie aj na úrovni údajových typov, kedy napríklad jeden obecný údajový typ môžeme zjemniť na viacero údajových typov nižšej úrovne. Podrobnejšie informácie o možnostiach zjemňovania špecifikácie pomocou jazyka HSSL možno nájsť v práci [16]. Start Specification k Refinement procedure Validation Verification Specification k+1 NO Final? YES Target implementation/ synthesis Obr. 4: Postup pri zjemňovaní (refinement) opisu (prebraté z [9]) Medzi ďalšie vlastnosti jazykov na systémovej úrovni patrí nezávislosť opisu na type budúcej implementácie. Systém môže byť implementovaný do cieľovej architektúry ako čip (hardvér) alebo ako program, ktorý bude realizovaný nejakým

20 2 Analýza problému 12 procesorom (softvér). Systém naviac môže pozostávať z rôznych podsystémov, ktoré môžu byť implementované rôznym spôsobom. Z tohto dôvodu jazyky musia umožňovať opis funkcie systému, ktorý bude realizovaný na čipe, a súčasne opis funkcie systému, ktorú bude realizovať nejaký procesor na základe programového kódu. V prípade jazykov, ktoré nemajú túto vlastnosť je nutný návrh pomocou viacerých jazykov (napríklad jazyk C a jazyk VHDL). Nutnosťou však zostáva možnosť ich spoločnej simulácie pre overenie kooperácie daných systémov. Táto vlastnosť sa nazýva taktiež HW/SW co-simulation. Jazyk HSSL je navrhnutý tak, aby túto požiadavku spĺňal. Avšak v súčasnosti nie sú dostupné implementované moduly programového vybavenia, ktoré by danú transformáciu realizovali. Cieľom tejto práce je návrh a implementácia aplikácie pre transformáciu opisu systému v jazyku HSSL do opisu v jazyku VHDL, čiže do opisu, ktorý umožňuje následnú hardvérovú implementáciu daného systému. Vývoj zmiešaného systému, ktorý pozostáva zo softvérových a hardvérových modulov, je znázornený na obr. 5. aplikácia prvotného prekladu do vnútorných štruktúr opis v HSSL prekladač aplikácie pre následnú transformáciu do HW/SW aplikácia vyvíjaná v tejto práci transformácia do HDL hardvérový modul transformácia do prog. kódu softvérový modul aplikácia pre spoločnú simuláciu HW a SW HW/SW co-simulation aplikácia zabezpečujúca integráciu subsystémov HW/SW do cieľovej architektúry cieľová implementácia implementovaný systém Obr. 5: Postup pri vývoji systému pomocou jazyka HSSL

21 2 Analýza problému 13 Medzi ďalšie vlastnosti jazyka patrí ošetrenie nešpecifikovaných stavov v systéme pomocou výnimiek ako ich poznáme napríklad v jazyku Java. Výnimky slúžia na ošetrenie nešpecifikovaných stavov v systéme, ktoré nie sú implicitne predpokladané v opise systému. Pri výskyte takéhoto nešpecifikovaného stavu systém pokračuje obsluhou výnimky, a tak predchádza nekorektnému správaniu systému na základe chybných stavov, ktoré sú zapríčinené predovšetkým vonkajšími vplyvmi. Obsluha výnimky môže byť spriahnutá s agentom, ale aj procesom. Čas v jazyku HSSL je diskrétny a je daný časovacími udalosťami. Správanie systému mimo diskrétnych časových bodov je realizované pomocou časovacích pravidiel. Syntax a sémantika jazyka HSSL sa nachádza v [13]. Výhodami jazyka HSSL sú: opis pomocou systémovej úrovne jazyk je vytvorený špeciálne pre opis systémov pomocou systémovej úrovne zjemňovanie je podporované zjemňovanie špecifikácii údajových typov, funkcie systému pomocou agentov, procesov, časovania pomocou časovacích pravidiel formálna verifikácia systému pri opise jedného systému dvoma rôznymi spôsobmi je možná ich formálna verifikácia (dôkazom) alebo verifikácia pomocou simulácie spojitý čas pri potrebe opisu systému z časového hľadiska je možné v jazyku HSSL špecifikovať správanie systému aj v spojitom čase pomocou časovacích pravidiel Nevýhodami jazyka HSSL sú: slabá podpora jazyk HSSL je relatívne nový jazyk a z toho vyplývajúca slabá podpora jazyka, v súčasnosti existuje prekladač a simulátor tohto jazyka

22 2 Analýza problému Porovnanie jazykov Hlavné rozdiely medzi jazykmi jasne vyplývajú z predchádzajúcich častí. Tieto rozdiely stručne zhrnieme v nasledujúcej tabuľke tab.1. Vlastnosť VHDL HSSL Podporované úrovne opisu funkčná úroveň úroveň log. hradiel elektronická úroveň systémová Štandardizácia áno nie Podpora veľká nízka Syntéza na tech. úroveň áno nie Základné stavebné bloky sekvenčné a paralelné príkazy agenty, procesy Časovanie spojité diskrétne Tab. 1: Základné vlastnosti jazykov VHDL a HSSL 2.5 Analýza možností transformácie Transformáciou z jazyka HSSL do jazyka VHDL sa zaoberá diplomová práca [22], ktorá môže poskytnúť základné východiskové body pri transformácii. Princíp opisovanej transformácie v tejto práci spočíva v prevedení opisu na konečný stavový Mealy-ho automat. Autor sa venuje úzkemu okruhu problémov ako je napr. preklad údajových typov, preklad komunikačnej formuly, preklad výnimiek a časovacích pravidiel. V práci chýba problematika prekladu procesných formúl, procesov, údajového typu union a riešenie iných problémov vyplývajúcich z vlastností jazyka HSSL. Navrhnutá transformácia je použiteľná len pre úzky okruh synchrónnych systémov. V našej transformácii sme sa rozhodli pre iný model systému, ktorý bude spomenutý nižšie. Číslicový systém ako taký predstavuje konečný automat, ktorý je charakterizovaný svojou prechodovou a výstupnou funkciou. Správanie systému v jazyku HSSL je špecifikované opísané celkovou kompozíciou procesov, agentov a akcií. Vykonávanie týchto prvkov je možné nielen sekvenčne, ale aj súbežne, a to na rôznych úrovniach (procesy, agenty, akcie). Prvotnou úlohou je preto nájdenie takého formálneho modelu, pomocou ktorého opíšeme špecifickými prostriedkami

23 2 Analýza problému 15 riadiace a údajové závislosti systému, a súčasne by sme vedeli daný model transformovať do konečného stavového automatu. Väčšina formálnych modelov je založená na konečnom stavovom automate FSM (Finite State Machine). Tento model je jednoduchý a jeho transformáciou sa zaoberá väčšie množstvo publikácií ako napríklad [4][19]. Použitie tohto modelu je ale z viacerých hľadísk obmedzené. FSM má obmedzené opisné vlastnosti pri použití v distribuovaných, paralelných a asynchrónnych systémoch [6]. Ďalšou nevýhodou tohto modelu je problematické vytvorenie prechodovej a výstupnej funkcie automatu. Pri hierarchii opisu v jazyku HSSL je vytvorenie prechodovej a výstupnej funkcie nie triviálnym problémom. Čiastočné riešenie je spomenuté v [11]. Pre viaceré nedostatky pôvodného modelu FSM vzniklo veľké množstvo rozšírení modelu. Rozšírením klasického stavového automatu o tok údajov (DFG Data Flow Graph) vznikol stavový automat FSMD (Finite State Machine with Datapath). Pre paralelné systémy je vhodný stavový automat CFSM (Codesign Finite State Machine). Použitím týchto modelov by sme museli vytvoriť zložitý mechanizmus prevodu opisu HSSL do daného stavového automatu. Ďalším modelom, ktorý sa úspešne používa pri modelovaní číslicových systémov sú Petriho siete. Sú vhodné pre modelovanie paralelných a asynchrónnych systémov. Petriho siete vznikli rozšírením modelovacích možností konečných automatov [7]. V konečnom dôsledku opis pomocou Petriho sietí reprezentuje konečný automat, ktorý môžeme transformovať do opisu v jazyku VHDL. Keďže Petriho siete umožňujú ľubovoľnú kompozíciu hierarchických systémov, nie je problém vytvoriť sieť z viacerých paralelných procesov alebo agentov. V tomto prípade nemusíme špeciálne vytvárať prechodovú a výstupnú funkciu, pretože dané funkcie sú konkrétne dané kompozíciou siete. Pri vytváraní Petriho siete na základe opisu v jazyku HSSL nie je nutné použiť a navrhnúť žiadny špeciálny mechanizmus prekladu. Pri transformácii nám postačia jednoduché pravidlá vytvárania siete. Stavový priestor siete je tvorený množinou všetkých označení miest, ktoré sú dosiahnuteľné z počiatočného značenia [7]. Na tejto množine môže byť definovaná prechodová funkcia určujúca na základe aktuálneho stavu a vykonateľného prechodu stav nasledujúci [7]. Problematikou opisu číslicových systémov pomocou Petriho sietí sa zaoberajú viaceré publikácie ako napríklad [6][5][23]. Medzi hlavné výhody použitia Petriho sietí patrí jednoduchá a výstižná grafická reprezentácia systému, široká modelovacia schopnosť na rôznych úrovniach abstrakcie, lepšia schopnosť modelovania distribuovaných, paralelných a asynchrónnych systémov než konečný automat, formálne metódy pre verifikáciu modelu a určovania vlastností siete, jednoduchá kompozícia Petriho sietí. Nevýhodou je zložitejšia transformácia do opisu vo VHDL. Po zvážení všetkých výhod a nevýhod daných spôsobov sme sa rozhodli pre transformáciu opisu v jazyku HSSL do jazyka VHDL pomocou použitia Petriho sietí.

24 2 Analýza problému 16 Hlavnou výhodou tohto prístupu je jednoduchá transformácia opisu do Petriho sietí a jednoduchý opis Petriho siete v jazyku VHDL (v našom prípade).

25 3 Návrh riešenia 17 3 Návrh riešenia Jazyk HSSL patrí medzi jazyky opisujúce číslicové systémy na systémovej úrovni. Systémová úroveň opisuje číslicový systém na vyššej úrovni abstrakcie než napríklad funkčná úroveň, ktorá je používaná zväčša pri opisoch v jazykoch VHDL alebo Verilog. Transformácia opisu do jazyka VHDL je problémom transformácie opisu medzi rôznymi úrovňami abstrakcie za cieľom syntézy systému do cieľovej architektúry. Na základe predchádzajúcej analýze možností transformácie bude naša transformácia spočívať v použití modelu Petriho sietí. Problematike Petriho sietí sa venuje kapitola 3.1. V nasledujúcej kapitole 3.2 sa venujeme problému opisu Petriho sietí pomocou jazyka VHDL. Táto časť je dôležitá z hľadiska formy výstupného opisu v jazyku VHDL. V kapitole 3.3 sa nachádza stručný prehľad postupov pri transformácii opisu z uceleného pohľadu. V nasledujúcich kapitolách 3.4 až 3.9 sa venujeme detailnejšiemu riešeniu problémov daných transformácií. Keďže jazyky na systémovej úrovni okrem vyššej abstrakcie funkcie systému používajú vyššiu formu abstrakcie aj z hľadiska údajových typov, je potrebné zaviesť aj techniky transformácie jednotlivých údajových typov v jazyku HSSL do jazyka VHDL. Touto problematikou sa zaoberá kapitola 3.4. Kapitola 3.5 sa zaoberá spôsobom opisu časovacích pravidiel a časovacích udalostí pomocou jazyka VHDL. Kapitoly 3.6, 3.7, 0 sa venujú vytvoreniu Petriho siete. Ide o vytvorenie Petriho siete na základe hierarchie opisu v jazyku HSSL, ktorá je daná kompozíciou procesov, agentov a akcií v systéme. Transformáciou výnimiek v jazyku HSSL sa zaoberáme v predposlednej kapitole 3.9. Keďže automatizovanou transformáciou opisu do Petriho siete vznikajú v sieti nadbytočné prechody a miesta, posledná kapitola 3.10 je venovaná optimalizácii vytvorenej siete.

26 3 Návrh riešenia Petriho siete Petriho sieťami je označovaná široká trieda matematických modelov, ktoré umožňujú popisovať riadiace toky a informačné závislosti v modelovaných systémoch [7]. Koncept Petriho sietí vyšiel z dekompozície systému na podsystémy popisované konečnými automatmi, ktoré pracujú autonómne, ale ich činnosť môže byť vzájomne riadená. Pri hierarchii systému jazyka HSSL, kde systém pozostáva z kompozície agentov a procesov je táto vlastnosť Petriho sietí pre naše ciele významne dôležitá. Petriho siete sú používané pri modelovaní paralelných, distribuovaných a iných systémov. Vznikli rozšírením modelovacích možností konečných automatov [7]. V konečnom dôsledku opis pomocou Petriho sietí reprezentuje konečný automat, ktorý môžeme transformovať do opisu v jazyku VHDL. Grafická reprezentácia (obr. 6) Petriho sietí pozostáva z prechodov (transition), z miest (place) a ich vzájomného prepojenia. Interpretácia miest a prechodov má viacero významov. Význam týchto znakov je daný vždy konkrétnou situáciou, v ktorej sa siete používajú. V tabuľke č. 2 sú uvedené niektoré možné interpretácie podľa [5]. V našej práci budeme priraďovať k prechodu akciu alebo agenta systému HSSL. miesto s označením prechod miesto bez označenia Obr. 6: Grafická reprezentácia Petriho sietí Označenie miesta predstavuje celé číslo, ktoré sa vzťahuje ku každému miestu v Petriho sieti. Graficky je znázornené určitým počtom čiernych bodiek umiestnených v danom mieste. Input Places Transitions Output Places Preconditions Event Postconditions Input Data Computational Step Output Data Input Signal Signal Processing Output Signal Resources Needed Task or Job Resources Released Conditions Clause in Logic Conclusions Tab. 2: Možná interpretácia symbolov v Petriho sieti

27 3 Návrh riešenia 19 V našej sieti je možné označenie miest len hodnotami jedna a nula. Podrobnejšie informácie o princípoch Petriho sietí nájdete napríklad v [7]. Jednoduchá kompozícia akcií v komunikačnej formuly agenta pomocou Petriho sietí sa nachádza na obr. 7. Komunikačná formula na tomto obrázku má tvar: if (pod) {akcia1.akcia2} else {akcia3 akcia4 akcia5}. Podobne je modelované aj riadenie agentov. štart prechod bez akcia1 pod=true pod=false akcie akcia2 akcia3 akcia4 akcia5 prechod spätý s akciou koniec Obr. 7: Opis komunikačnej formuly pomocou Petriho sietí Nami používaná Petriho sieť je rozšírená o možnosť vykonávania daného prechodu na základe vstupnej podmienky. Čiže aktivácia prechodu môže nastať len vtedy, ak je splnená daná vstupná podmienka a daný prechod môže byť aktivovaný na základe pravidiel aktivácie prechodu v Petriho sieťach. Označenie vstupnej podmienky môžeme vidieť na obr. 8. Vstupná podmienka na obrázku má výraz var<max. Okrem vstupnej podmienky je možné priradenie k danému prechodu konkrétne vykonanie priraďovacieho výraz a vykonanie danej akcie. Na obr. 8 je k danému prechodu priradené vykonanie akcie akcia a priraďovací výraz. var++. Konkrétna transformácia rozšírenej Petriho siete sa nachádza v nasledujúcej kapitole.

28 3 Návrh riešenia 20 var<max akcia var++ Obr. 8: Grafická reprezentácia nami používanej Petriho siete V súčasnosti existuje množstvo rozšírení Petriho sietí. Najvýznamnejšie sú: Place/Transition Petri nets (čiernobiele Petriho siete) Higher Level Petri nets (Petriho siete vyššej úrovne) Predicate/Transitions Petri nets (Petriho siete s predikciou) Coloured Petri nets (farebné Petriho siete) Timed Petri nets (časové Petriho siete) Hardware Petri Nets (Petriho siete pre hardvér) 3.2 Opis Petriho siete vo VHDL Transformáciou Petriho sietí do VHDL sa zaoberajú viaceré publikácie ako napríklad [23][2][20]. Pri transformácii Petriho sietí do jazyka VHDL sa používajú dva základné prístupy a to: opis siete pomocou viacerých procesov vo VHDL [23][20] opis siete pomocou jedného procesu vo VHDL [2] Opisy pomocou viacerých procesov vo VHDL umožňujú modelovať komplikovanejšie systémy opísané pomocou Petriho sietí. Tieto opisy umožňujú modelovať siete s možnosťou ohodnotenia prechodov a miest, ponúkajú širšie možnosti použitia sietí v závislosti na ich type. Opis vo väčšine prípadov VHDL pozostáva z balíka, ktorý obsahuje dva vopred vytvorené komponenty. Oba komponenty obsahujú vo svojom tele proces, ktorý predstavuje miesto alebo prechod. Vstupy a výstupy komponentov predstavujú prepojenia siete. Tento spôsob opisu Petriho sietí nevyhovuje našim požiadavkám z dôvodov existencie viacerých paralelných procesov v opise. Výsledný opis vo VHDL je obsiahly a zbytočne komplikovaný. Pri druhom spôsobe transformácie Petriho sietí do jazyka VHDL výsledný opis obsahuje jeden riadiaci proces. Daný spôsob nepodporuje ohodnotenia miest alebo prechodov väčších ako jedna. Keďže ide o veľmi jednoduchý opis Petriho sietí, výsledný opis vo VHDL nie je zložitý.

29 3 Návrh riešenia 21 Našim požiadavkám vyhovuje druhý spôsob opisu z dôvodov jednoduchosti výsledného opisu vo VHDL. Keďže naša sieť je jednoduchá P/T Petriho sieť, ktorá nepodporuje označenia miest a prechodov väčších ako jedna, nevýhoda tohto spôsobu opisu pre nás nepredstavuje problém. Naša sieť oproti klasickým P/T Petriho sietí je obohatená o možnosti podmieneného prechodu na základe vstupnej podmienky. Hlavnou časťou opisu siete je riadiaci proces. Riadiaci proces pozostáva z dvoch hlavných blokov, a to z bloku riadenia ohodnotenia miest a bloku riadenia aktivácie prechodov. Základné princípy si ukážeme na jednoduchom príklade zobrazeného na obr. 9. Komunikačná formula daného agenta má nasledovný tvar: IF (POD) AKCIA1 ELSE AKCIA2}.AKCIA3. Daný tvar Petriho siete nezodpovedá presne vygenerovanej sieti v našom systéme. V tomto prípade je zjednodušená pre súčasné účely. place[0] akcia2 transition[1] pod=false pod=true akcia1 transition[0] place[1] akcia3 transition[2] place[2] Obr. 9: Petriho sieť predstavujúca jednoduchého agenta Miesta a prechody predstavujú premenné nami vytvoreného údajového typu. Všetky miesta a prechody v sieti následne zahŕňajú dve polia, pričom jedno pole obsahuje zoznam všetkých prechodov a druhé pole obsahuje zoznam všetkých miest. Deklarácia nami vytvorených typov a použitých premenných je nasledovná: type transition is (not_firing,is_firing); type transition_array is array (natural range <>) of transition; type token is (not_token, is_token); type token_array is array (natural range <>) of token; variable PLACE, NEXTPLACE : token_array(0 to 2); variable TRANS : transition_array(0 to 2);

30 3 Návrh riešenia 22 Premenná menom PLACE obsahuje aktuálny stav označenia miest v sieti. Táto premenná môže nadobúdať hodnoty IS_TOKEN (miesto je označené) a NOT_TOKEN (miesto nie je označené). Tieto premenné sú nastavované v časti aktivácie prechodov, kedy pri aktivácii prechodu sú označené všetky nasledujúce miesta pripojené k danému prechodu. V tejto časti sa testuje možnosť aktivácie prechodu v sieti a pri tejto možnosti sa daný prechod aktivuje čím nastaví príslušnú premennú na hodnotu IS_FIRING a odstráni označenie vstupných miest. Slovný opis aktivácie prechodu je takýto: prechod TRANSITION(0) v sieti je aktivovaný, ak je označené miesto PLACE(0) a je splnená podmienka POD. Pri prechode transition(0) a transition(1) je súčasne zahrnutá aj transformácia vstupnej podmienky. Prechod transition(2) je bez vstupnej podmienky a aktivácia prechodu je výlučne daná stavom siete. Opis vo VHDL je nasledovný: if (PLACE(0)=IS_TOKEN and POD=true) then testovanie vstup. miest TRANSITION(0):=IS_FIRING; označenie aktivácie prechodu PLACE(0):=NOT_TOKEN; odstránenie označenia miesta tu sa bude nachádzať realizácia akcie akcia1 end if; if (PLACE(0)=IS_TOKEN and POD=false) then testovanie vstup. miest TRANSITION(1):=IS_FIRING; označenie aktivácie prechodu PLACE(0):=NOT_TOKEN; odstránenie označenia miesta tu sa bude nachádzať realizácia akcie akcia2 end if; if (PLACE(1)=IS_TOKEN) then testovanie vstup. miest TRANSITION(2):=IS_FIRING; označenie aktivácie prechodu PLACE(0):=NOT_TOKEN; odstránenie označenia miesta tu sa bude nachádzať realizácia akcie akcia3 end if; Premenná menom TRAN predstavuje stav daného prechodu. Môže nadobúdať hodnoty IS_FIRING (daný prechod je aktivovaný) alebo NOT_FIRING (daný prechod nie je aktivovaný). Premenné sú nastavované v časti bloku riadenia ohodnotenia miest. V tomto bloku sa testujú aktivácie prechodov a pôvodné označenia siete. Pri aktivácii prechodu sú nastavované hodnoty nového označenia miest siete. Nastavované sú premenné menom NEXTPLACE, ktoré sa pri ustálení siete v istom čase prekopírujú do premenných s menom PLACE. Slovný opis označenia miesta je takýto: miesto PLACE(1) je označené vtedy, ak je aktivovaný prechod TRANSITION(1) alebo ak miesto PLACE(1) je už označené. Opis vo VHDL je nasledovný: if (PLACE(0)=is_token)= IS_FIRING) then NEXTPLACE(0):=IS_TOKEN; end if; if (PLACE(1)=is_token or TRANSITION(0)=IS_FIRING) then NEXTPLACE(1):=IS_TOKEN; end if;

31 3 Návrh riešenia 23 if (PLACE(1)=is_token or TRANSITION(1)=IS_FIRING) then NEXTPLACE(1):=IS_TOKEN; end if; if (PLACE(2)=is_token or TRANSITION(2)=IS_FIRING) then NEXTPLACE(2):=IS_TOKEN; end if; Simulácia siete je opakovane realizovaná pokiaľ sa sieť neustáli. Testovanie ustálenia Petriho siete realizujeme konštrukciou: if (PLACE=NEXTPLACE) then exit Petri; end if; 3.3 Základný návrh transformácie V tejto časti načrtneme základný spôsob transformácie kompletného systému opísaného v jazyku VHDL do jazyka HSSL. Podrobnejšie vysvetlenie pojmov používaných v tejto časti nájdete v nasledovných dokumentoch [9][11][12][13][16]. Detailné opisy daných princípov transformácie nájdete v príslušných kapitolách. Princíp transformácie Základný princíp transformácie spočíva vo vytvorení príslušnej Petriho siete na základe opisu v jazyku HSSL. Prvky siete obsahujú kompletný opis systému. Výnimku tvoria časovacie pravidlá, ktoré sa nachádzajú v pomocných zoznamoch. Následne na základe danej siete s pomocou daných časovacích pravidiel je vytvorený opis systému v jazyku VHDL. Opis vo VHDL je tvorený jedným procesom, ktorý riadi Petriho sieť. Vstupné, výstupné a stavové premenné Všetky vstupné a výstupné premenné sú definované v jazyku VHDL v príslušnej entite. Názvy daných premenných sú identické s názvami v HSSL opise. Je nutné dávať pozor, aby názvy premenných v HSSL neboli identické s vyhradenými slovami jazyka VHDL. V architektúre sú vytvorené signály, ktoré reprezentujú všetky výstupné, stavové, vstupno-výstupné a výstupno-stavové premenné. Názov týchto premenných je tvorený z predpony S_ a ich pôvodného názvu. Tieto signály sú v tele architektúry vytvorené z dôvodu testovania časovacích udalostí aj výstupných premenných. Následne v opise sa manipuluje výlučne s týmito vytvorenými signálmi. Hodnoty daných signálov sú priraďované k výstupným portom v tele architektúry.

Aplikačný dizajn manuál

Aplikačný dizajn manuál Aplikačný dizajn manuál Úvod Aplikačný dizajn manuál je súbor pravidiel vizuálnej komunikácie. Dodržiavaním jednotných štandardov, aplikácií loga, písma a farieb pri prezentácii sa vytvára jednotný dizajn,

More information

Spájanie tabuliek. Jaroslav Porubän, Miroslav Biňas, Milan Nosáľ (c)

Spájanie tabuliek. Jaroslav Porubän, Miroslav Biňas, Milan Nosáľ (c) Spájanie tabuliek Jaroslav Porubän, Miroslav Biňas, Milan Nosáľ (c) 2011-2016 Úvod pri normalizácii rozdeľujeme databázu na viacero tabuliek prepojených cudzími kľúčmi SQL umožňuje tabuľky opäť spojiť

More information

Obsah. SOA REST REST princípy REST výhody prest. Otázky

Obsah. SOA REST REST princípy REST výhody prest. Otázky REST Peter Rybár Obsah SOA REST REST princípy REST výhody prest Otázky SOA implementácie WEB (1990) CORBA (1991) XML-RPC (1998) WS-* (1998) SOAP RPC/literal SOAP Document/literal (2001) REST (2000) SOA

More information

Registrácia účtu Hik-Connect

Registrácia účtu Hik-Connect Registrácia účtu Hik-Connect Tento návod popisuje postup registrácie účtu služby Hik-Connect prostredníctvom mobilnej aplikácie a webového rozhrania na stránke www.hik-connect.comg contents in this document

More information

VYLEPŠOVANIE KONCEPTU TRIEDY

VYLEPŠOVANIE KONCEPTU TRIEDY VYLEPŠOVANIE KONCEPTU TRIEDY Typy tried class - definuje premenné a metódy (funkcie). Ak nie je špecifikovaná inak, viditeľnosť členov je private. struct - definuje premenné a metódy (funkcie). Ak nie

More information

Copyright 2016 by Martin Krug. All rights reserved.

Copyright 2016 by Martin Krug. All rights reserved. MS Managed Service Copyright 2016 by Martin Krug. All rights reserved. Reproduction, or translation of materials without the author's written permission is prohibited. No content may be reproduced without

More information

Anycast. Ľubor Jurena CEO Michal Kolárik System Administrator

Anycast. Ľubor Jurena CEO Michal Kolárik System Administrator Anycast Ľubor Jurena CEO jurena@skhosting.eu Michal Kolárik System Administrator kolarik@skhosting.eu O nás Registrátor Webhosting Serverové riešenia Správa infraštruktúry Všetko sa dá :-) Index Čo je

More information

Databázové systémy. SQL Window functions

Databázové systémy. SQL Window functions Databázové systémy SQL Window functions Scores Tabuľka s bodmi pre jednotlivých študentov id, name, score Chceme ku každému doplniť rozdiel voči priemeru 2 Demo data SELECT * FROM scores ORDER BY score

More information

1 Komplexný príklad využitia OOP

1 Komplexný príklad využitia OOP 1 Komplexný príklad využitia OOP Najčastejším využitím webových aplikácií je komunikácia s databázovým systémom. Komplexný príklad je preto orientovaný práve do tejto oblasti. Od verzie PHP 5 je jeho domovskou

More information

kucharka exportu pro 9FFFIMU

kucharka exportu pro 9FFFIMU požiadavky na export kodek : Xvid 1.2.1 stable (MPEG-4 ASP) // výnimočne MPEG-2 bitrate : max. 10 Mbps pixely : štvorcové (Square pixels) rozlíšenie : 1920x1080, 768x432 pre 16:9 // výnimočne 1440x1080,

More information

Riešenia a technológie pre jednotnú správu používateľov

Riešenia a technológie pre jednotnú správu používateľov Riešenia a technológie pre jednotnú správu používateľov Radovan Semančík Agenda Úvod: Identity Crisis Technológie správy používateľov Postup nasadenia Záver Súčasný stav IT Security Nekonzistentné bezpečnostné

More information

Tvorba informačných systémov. 4. prednáška: Návrh IS

Tvorba informačných systémov. 4. prednáška: Návrh IS Tvorba informačných systémov 4. prednáška: Návrh IS Návrh informačného systému: témy Ciele návrhu ERD DFD Princípy OOP Objektová normalizácia SDD Architektonické pohľady UML diagramy Architektonické štýly

More information

POKROČILÉ C++ Marian Vittek

POKROČILÉ C++ Marian Vittek POKROČILÉ C++ Marian Vittek vittek@fmph.uniba.sk O predmete Pôvodne seminár Teraz normálna prednáška so skúškou/testom Predmetom kurzu je detailnejší pohľad na jazyk C++ a občasné porovnanie s inými programovacími

More information

Ochrana koncových staníc pomocou Cisco Security Agent 6.0. Ľubomír Varga.

Ochrana koncových staníc pomocou Cisco Security Agent 6.0. Ľubomír Varga. Ochrana koncových staníc pomocou Cisco Security Agent 6.0 Ľubomír Varga lubomir.varga@lynx.sk Agenda CSA 6.0 refresh Vybrané vlastnosti CSA 6.0 Application Trust levels Notify User Rule Actions User Justifications

More information

TP-LINK 150Mbps Wireless AP/Client Router Model TL-WR743ND Rýchly inštalačný sprievodca

TP-LINK 150Mbps Wireless AP/Client Router Model TL-WR743ND Rýchly inštalačný sprievodca TP-LINK 150Mbps Wireless AP/Client Router Model TL-WR743ND Rýchly inštalačný sprievodca Obsah balenia TL-WR743ND Rýchly inštalačný sprievodca PoE injektor Napájací adaptér CD Ethernet kábel Systémové požiadavky

More information

UNIVERZITA KOMENSKÉHO V BRATISLAVE FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY VÝUKOVÁ WEBOVÁ APLIKÁCIA NA PROGRAMOVANIE GPU.

UNIVERZITA KOMENSKÉHO V BRATISLAVE FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY VÝUKOVÁ WEBOVÁ APLIKÁCIA NA PROGRAMOVANIE GPU. UNIVERZITA KOMENSKÉHO V BRATISLAVE FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY VÝUKOVÁ WEBOVÁ APLIKÁCIA NA PROGRAMOVANIE GPU Diplomová práca 2017 Bc. Denis Spišák UNIVERZITA KOMENSKÉHO V BRATISLAVE FAKULTA

More information

Tvorba informačných systémov 3. prednáška modely vývoja informačných systémov

Tvorba informačných systémov 3. prednáška modely vývoja informačných systémov Tvorba informačných systémov 3. prednáška modely vývoja informačných systémov Špecifikácia požiadaviek cieľ: vytvorenie uceleného katalógu požiadaviek na produkt (t.j. čo zadávateľ od produktu požaduje)

More information

Preklad modelov EPC do Petriho sietí

Preklad modelov EPC do Petriho sietí 1 Portál pre odborné publikovanie ISSN 1338-0087 Preklad modelov EPC do Petriho sietí Savka Andrej Informačné technológie 29.06.2011 V článku Možnosti prekladu modelov BPMN do Petriho sietí bola popísaná

More information

Hodnotenie kvality produktu

Hodnotenie kvality produktu Hodnotenie kvality produktu (2012/2013) Obsah 1. Úvod... 3 2. ISO 9126: Meranie kvality softvérového produktu... 3 2.1 ISO 9126-1: Model kvality... 4 2.2 ISO TR 9126-2: Externé metriky... 6 2.3 ISO TR

More information

REPORT DESIGNER 1 VYTVORENIE A ÚPRAVA FORMULÁRA. úprava formulárov v Money S4 / Money S Vytvorenie formulára

REPORT DESIGNER 1 VYTVORENIE A ÚPRAVA FORMULÁRA. úprava formulárov v Money S4 / Money S Vytvorenie formulára REPORT DESIGNER úprava formulárov v Money S4 / Money S5 Informačný systém Money S4/S5 umožňuje upraviť tlačové zostavy tak, aby plne vyhovovali potrebám používateľa. Na úpravu tlačových zostáv slúži doplnkový

More information

LL LED svietidlá na osvetlenie športovísk. MMXIII-X LEADER LIGHT s.r.o. Všetky práva vyhradené. Uvedené dáta podliehajú zmenám.

LL LED svietidlá na osvetlenie športovísk. MMXIII-X LEADER LIGHT s.r.o. Všetky práva vyhradené. Uvedené dáta podliehajú zmenám. LL LED svietidlá na osvetlenie športovísk MMXIII-X LEADER LIGHT s.r.o. Všetky práva vyhradené. Uvedené dáta podliehajú zmenám. LL SPORT LL SPORT je sofistikované vysoko výkonné LED svietidlo špeciálne

More information

Coordinates ordering in parallel coordinates views

Coordinates ordering in parallel coordinates views Univerzita Komenského v Bratislave Fakulta matematiky, fyziky a informatiky Coordinates ordering in parallel coordinates views Bratislava, 2011 Lukáš Chripko Univerzita Komenského v Bratislave Fakulta

More information

Databázy (1) Prednáška 11. Alexander Šimko

Databázy (1) Prednáška 11. Alexander Šimko Databázy (1) Prednáška 11 Alexander Šimko simko@fmph.uniba.sk Contents I Aktualizovanie štruktúry databázy Section 1 Aktualizovanie štruktúry databázy Aktualizácia štruktúry databázy Štruktúra databázy

More information

Poradové a agregačné window funkcie. ROLLUP a CUBE

Poradové a agregačné window funkcie. ROLLUP a CUBE Poradové a agregačné window funkcie. ROLLUP a CUBE 1) Poradové a agregačné window funkcie 2) Extrémy pomocou DENSE_RANK(), TOP() - Príklady 3) Spriemernené poradia 4) Kumulatívne súčty 5) Group By a Datepart,

More information

MATLAB EXCEL BUILDER A NÁVRH PID REGULÁTOROV PRE PROSTREDIE MS EXCEL

MATLAB EXCEL BUILDER A NÁVRH PID REGULÁTOROV PRE PROSTREDIE MS EXCEL MATLAB EXCEL BUILDER A NÁVRH PID REGULÁTOROV PRE PROSTREDIE MS EXCEL Martin Foltin, Ivan Sekaj Fakulta elektrotechniky a informatiky, Slovenská Technická Univerzita, Ilkovičova 3, 812 19 Bratislava, Slovenská

More information

Microsoft Azure platforma pre Cloud Computing. Juraj Šitina, Microsoft Slovakia

Microsoft Azure platforma pre Cloud Computing. Juraj Šitina, Microsoft Slovakia Microsoft Azure platforma pre Cloud Computing Juraj Šitina, Microsoft Slovakia m Agenda Cloud Computing Pohľad Microsoftu Predstavujeme platformu Microsoft Azure Benefity Cloud Computingu Microsoft je

More information

Podporované grantom z Islandu, Lichtenštajnska a Nórska prostredníctvom Finančného mechanizmu EHP a Nórskeho finančného mechanizmu

Podporované grantom z Islandu, Lichtenštajnska a Nórska prostredníctvom Finančného mechanizmu EHP a Nórskeho finančného mechanizmu Podporované grantom z Islandu, Lichtenštajnska a Nórska prostredníctvom Finančného mechanizmu EHP a Nórskeho finančného mechanizmu Závereč ný workshop projektu INEDU-GOV Inovatívne vzdelávanie pracovníkov

More information

Jazyk SQL. Jaroslav Porubän, Miroslav Biňas, Milan Nosáľ (c)

Jazyk SQL. Jaroslav Porubän, Miroslav Biňas, Milan Nosáľ (c) Jazyk SQL Jaroslav Porubän, Miroslav Biňas, Milan Nosáľ (c) 2011-2016 Jazyk SQL - Structured Query Language SQL je počítačový jazyk určený na komunikáciu s relačným SRBD neprocedurálny (deklaratívny) jazyk

More information

Ekonomický pilier TUR

Ekonomický pilier TUR Názov indikátora: HDP na obyvateľa Zaradenie indikátora v DPSIR štruktúre: Základné informácie: SR Definícia Hrubý domáci produkt vyjadrovaný ako celková peňažná hodnota statkov a služieb vytvorených za

More information

Tvorba plánov DÁVID KOVÁČ

Tvorba plánov DÁVID KOVÁČ Tvorba plánov DÁVID KOVÁČ Slovenská technická univerzita Fakulta informatiky a informačných technológií Ilkovičova 3, 842 16 Bratislava qavidko[zavináč]gmail[.]com Abstrakt. Plánovanie je jednou z najdôležitejších

More information

NIKY a NIKY S. JEDNOFÁZOVÉ UPS od 600 do 3000 VA SVETOVÝ ŠPECIALISTA PRE ELEKTRICKÉ INŠTALÁCIE A DIGITÁLNE SYSTÉMY BUDOV

NIKY a NIKY S. JEDNOFÁZOVÉ UPS od 600 do 3000 VA SVETOVÝ ŠPECIALISTA PRE ELEKTRICKÉ INŠTALÁCIE A DIGITÁLNE SYSTÉMY BUDOV NIKY a NIKY S JEDNOFÁZOVÉ UPS od 600 do 3000 VA SVETOVÝ ŠPECIALISTA PRE ELEKTRICKÉ ŠTALÁCIE A DIGITÁLNE SYSTÉMY BUDOV Ideálna ochrana pre malé kancelárie a domáce kancelárske aplikácie. Tento rad ponúka

More information

PODPORNÉ PROSTRIEDKY PRE VERZIOVANIE: VHODNÝ VÝBER PRE NÁŠ TÍM?

PODPORNÉ PROSTRIEDKY PRE VERZIOVANIE: VHODNÝ VÝBER PRE NÁŠ TÍM? PODPORNÉ PROSTRIEDKY PRE VERZIOVANIE: VHODNÝ VÝBER PRE NÁŠ TÍM? Budúcnosť je jasná, budúcnosť sú distribuované verziovacie systémy... alebo centralizované??? Balázs Nagy Slovenská technická univerzita

More information

Manažment kvality a testovanie softvéru

Manažment kvality a testovanie softvéru Manažment kvality a testovanie softvéru ĽUBOŠ ZELINKA Slovenská technická univerzita Fakulta informatiky a informačných technológií Ilkovičova 3, 842 16 Bratislava zelinka04[zavináč]student[.]fiit[.]stuba[.]sk

More information

Manuál k programu FileZilla

Manuál k programu FileZilla Manuál k programu FileZilla EXO TECHNOLOGIES spol. s.r.o. Garbiarska 3 Stará Ľubovňa 064 01 IČO: 36 485 161 IČ DPH: SK2020004503 support@exohosting.sk www.exohosting.sk 1 Úvod EXO HOSTING tím pre Vás pripravil

More information

SLOVENSKÁ TECHNICKÁ UNIVERZITA FAKULTA INFORMATIKY A INFORMAČNÝCH TECHNOLÓGIÍ ILKOVIČOVA 3, BRATISLAVA 4

SLOVENSKÁ TECHNICKÁ UNIVERZITA FAKULTA INFORMATIKY A INFORMAČNÝCH TECHNOLÓGIÍ ILKOVIČOVA 3, BRATISLAVA 4 SLOVENSKÁ TECHNICKÁ UNIVERZITA FAKULTA INFORMATIKY A INFORMAČNÝCH TECHNOLÓGIÍ ILKOVIČOVA 3, 842 16 BRATISLAVA 4 TÍM 13 SIMULÁCIA DEMONŠTRÁCIE V MESTE DEVELOPERSKÁ PRÍRUČKA Vedúci projektu: Ing. Ivan Kapustík

More information

Recipient Configuration. Štefan Pataky MCP, MCTS, MCITP

Recipient Configuration. Štefan Pataky MCP, MCTS, MCITP Recipient Configuration Štefan Pataky MCP, MCTS, MCITP Agenda Mailbox Mail Contact Distribution Groups Disconnected Mailbox Mailbox (vytvorenie nového účtu) Exchange Management Console New User Exchange

More information

BODY PRÍPADOV POUŽITIA ALEBO AKO MERAŤ SOFTVÉR

BODY PRÍPADOV POUŽITIA ALEBO AKO MERAŤ SOFTVÉR BODY PRÍPADOV POUŽITIA ALEBO AKO MERAŤ SOFTVÉR Pre efektívne riadenie celého projektu je potrebné merať jeho veľkosť Ondrej Jurčák Slovenská technická univerzita Fakulta informatiky a informačných technológií

More information

Kategória školenia Školenia Cisco obsahuje kurzy:

Kategória školenia Školenia Cisco obsahuje kurzy: Kategória školenia Školenia Cisco obsahuje kurzy: Cisco CCNA I - Úvod do počítačových sietí Školenie Cisco CCNA I - Úvod do počítačových sietí je určený záujemcom o počítačové siete a ich budúcim administrátorom.

More information

Dátové rozhrania siete KONFER net

Dátové rozhrania siete KONFER net Dátové rozhrania siete KONFER net v zmysle 35 odseku 1 zákona č. 610/2003 Z.z. o elektronických komunikáciách Technická špecifikácia digitálnych dátových rozhraní Verzia: 1.0 Dátum vydania: 10.10.2010-1

More information

Xamarin písanie Android a ios aplikácií v C#

Xamarin písanie Android a ios aplikácií v C# www.dotnetcollege.cz Xamarin písanie Android a ios aplikácií v C# Roman Jašek Software Architect, Riganti s.r.o. MSP, MCP roman.jasek@riganti.cz Xamarin vs. Xamarin Forms ios C# UI Android C# UI Windows

More information

Princípy softvérového inžinierstva

Princípy softvérového inžinierstva Princípy softvérového inžinierstva FIIT STU Bratislava prof. Ing. Mária Bieliková, PhD. 2.04 maria.bielikova@stuba.sk www.fiit.stuba.sk/~bielik/ Základné údaje o predmete Rozsah 2 hodiny prednášok týždenne

More information

Nové komunikačné trendy v dátových centrách

Nové komunikačné trendy v dátových centrách Nové komunikačné trendy v dátových centrách Martin Vozár Roman Benko 25. november 2009 Cisco Expo, Bratislava Agenda 1. Konvergovaná architektúra 2. Komponenty architektúry 3. AVNET demo LAB 2 / 17 Konvergovaná

More information

Spôsoby zistenia ID KEP

Spôsoby zistenia ID KEP Spôsoby zistenia ID KEP ID KEP (kvalifikovaný elektronický podpis) je možné zistiť pomocou napr. ovládacieho panela, prostredíctvom prehliadača Internet Expolrer, Google Chrome alebo Mozilla Firefox. Popstup

More information

Algoritmy deterministickej a stochastickej optimalizácie a ich počítačová realizácia

Algoritmy deterministickej a stochastickej optimalizácie a ich počítačová realizácia Algoritmy deterministickej a stochastickej optimalizácie a ich počítačová realizácia ESF 2007 D. Ševčovič Katedra aplikovanej matematiky a štatistiky, Univerzita Komenského, 842 48 Bratislava http://www.iam.fmph.uniba.sk/institute/sevcovic

More information

Návrh kritérií pre habilitáciu docentov a vymenúvanie profesorov na Ekonomickej fakulte TU v Košiciach

Návrh kritérií pre habilitáciu docentov a vymenúvanie profesorov na Ekonomickej fakulte TU v Košiciach EKONOMICKÁ FAKULTA TU V KOŠICIACH MATERIÁL NA ROKOVANIE: Vedeckej rady, dňa: 16.11.20 Návrh kritérií pre habilitáciu docentov a vymenúvanie profesorov na Ekonomickej fakulte TU v Košiciach Predkladá: prof.

More information

Crestron Mercury. Univerzálny Videokonferenčný a Kolaboračný systém

Crestron Mercury. Univerzálny Videokonferenčný a Kolaboračný systém Crestron Mercury Univerzálny Videokonferenčný a Kolaboračný systém Tradičná malá zasadacia miestnosť CRESTRON Mercury Videokonferenčná miestnosť Možnosť rezervácie miestnosti: Prostredníctvom MS Outlook

More information

Rýchlosť Mbit/s (download/upload) 15 Mbit / 1 Mbit. 50 Mbit / 8 Mbit. 80 Mbit / 10 Mbit. 10 Mbit / 1 Mbit. 12 Mbit / 2 Mbit.

Rýchlosť Mbit/s (download/upload) 15 Mbit / 1 Mbit. 50 Mbit / 8 Mbit. 80 Mbit / 10 Mbit. 10 Mbit / 1 Mbit. 12 Mbit / 2 Mbit. Fiber 5 Mbit ** 5 Mbit / Mbit 5,90 Fiber 50 Mbit * 50 Mbit / 8 Mbit 9,90 Fiber 80 Mbit * 80 Mbit / Mbit 5,90 Mini Mbit* Mbit / Mbit 9,90 Klasik 2 Mbit* 2 Mbit / 2 Mbit Standard 8 Mbit* 8 Mbit / 3Mbit Expert

More information

Úvod do hospodárskej informatiky (prednáška 7) František Babič

Úvod do hospodárskej informatiky (prednáška 7) František Babič Úvod do hospodárskej informatiky (prednáška 7) František Babič 2 Osnova Proces a podnikové procesy Procesná analýza BPMN Procesné riadenie Optimalizácia procesov Reinžiniering 3 Proces (1) Súhrn činností,

More information

Návrh a realizácia aplikácie na analýzu výrobných procesov pomocou Petriho sietí

Návrh a realizácia aplikácie na analýzu výrobných procesov pomocou Petriho sietí Návrh a realizácia aplikácie na analýzu výrobných procesov pomocou Petriho sietí Rudolf REPČÍN, Matej ČOPÍK, Ján JADLOVSKÝ Katedra kybernetiky a umelej inteligencie, Fakulta elektrotechniky a informatiky,

More information

VLSM a CIDR. CCNA2 Kapitola Cisco Systems, Inc. All rights reserved. Cisco Public 1

VLSM a CIDR. CCNA2 Kapitola Cisco Systems, Inc. All rights reserved. Cisco Public 1 VLSM a CIDR CCNA2 Kapitola 6 1 Trošku histórie Pred rokom 1981 IP adresy používali na špecifikáciu siete len prvých 8 bitov Rok1981, RFC 791 Zaviedol adresný priestor s tromi triedami adries Polovica 90

More information

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV INFORMAČNÍCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF INFORMATION SYSTEMS IMPLEMENTACE

More information

Mesačná kontrolná správa

Mesačná kontrolná správa Mesačná kontrolná správa Štrukturálna štúdia mar.18 feb.18 jan.18 dec.17 nov.17 okt.17 sep.17 aug.17 júl.17 jún.17 máj.17 apr.17 mar.17 Internetová populácia SR 12+ 3 904 509 3 802 048 3 870 654 3 830

More information

AR6181-MX, AR6182-MX Čítačky MIFARE kariet

AR6181-MX, AR6182-MX Čítačky MIFARE kariet AR6181-MX, AR6182-MX Čítačky MIFARE kariet ISO14443-A, ISO14443-B a ISO15693 Systém kontroly vstupu 13,56 MHz proximity technológia Jednoduchá konfigurácia čítačky použitím konfiguračnej karty Možnosť

More information

Vzory, rámce a webové aplikácie

Vzory, rámce a webové aplikácie Vzory, rámce a webové aplikácie Jakub Šimko jakub.simko@stuba.sk Návrhové vzory (načo slúžia?) 1. Dobré zvyky v programovaní 2. Riešia často sa opakujúce problémy praxou overeným spôsobom 3. Pomôžu nám

More information

1 VÝVOJ APLIKÁCIÍ S VYUŽITÍM VLSI

1 VÝVOJ APLIKÁCIÍ S VYUŽITÍM VLSI VÝVOJ APLIKÁCIÍ S VYUŽITÍM VLSI TECHNOLÓGIE. BOUNDARY SCAN TESTING (TESTOVANIE POMOCOU TECHNOLÓGIE HRANIČNÝCH TESTOV) Dôležitosť testovania číslicových integrovaných obvodov, osadených plošných spojov

More information

Mesačná kontrolná správa

Mesačná kontrolná správa Mesačná kontrolná správa Štrukturálna štúdia dec.16 nov.16 okt.16 sep.16 aug.16 júl.16 jún.16 máj.16 apr.16 mar.16 feb.16 jan.16 Internetová populácia SR 12+ 3 728 988 3 718 495 3 718 802 3 711 581 3 700

More information

MERANIE SOFTVÉRU. Jakub Šimko MSI

MERANIE SOFTVÉRU. Jakub Šimko MSI Slovenská Technická Univerzita v Bratislave Fakulta Informatiky a Informačných Technológií Jakub Šimko jsimko@fiit.stuba.sk MERANIE SOFTVÉRU 9.10.2012 MSI Meranie a metriky Kto by mal dávať pozor? Predsa

More information

Základná(umelecká(škola(Jána(Albrechta Topoľčianska(15

Základná(umelecká(škola(Jána(Albrechta Topoľčianska(15 Základná(umelecká(škola(Jána(Albrechta Topoľčianska(15 851(01(Bra@slava Titl.: Ján(Hrčka Bohrova(11 851(01(Bra@slava V(Bra@slave(21.11.2013 Vec:(Odpoveď(na(informácie(ohľadom(mandátnej(zmluvy(na(základe(Zákona(č.(211/2000(Zb.

More information

slido.com #psi09p Sign in -> Sign in with Campus Login

slido.com #psi09p   Sign in -> Sign in with Campus Login slido.com #psi09p https://asq.fiit.stuba.sk/ Sign in -> Sign in with Campus Login 7t 26.3.2018 pondelok, 28.3.2018 - streda modelovanie UML - stavový diagram dokončenie entitno funkčná matica graf životného

More information

ŽILINSKÁ UNIVERZITA V ŽILINE FAKULTA RIADENIA A INFORMATIKY

ŽILINSKÁ UNIVERZITA V ŽILINE FAKULTA RIADENIA A INFORMATIKY ŽILINSKÁ UNIVERZITA V ŽILINE FAKULTA RIADENIA A INFORMATIKY MODELOM RIADENÁ ARCHITEKTÚRA A ONTOLÓGIE Dizertačná práca Kód 28360020163008 Študijný program: Aplikovaná informatika Študijný odbor: 9.2.9 Aplikovaná

More information

SLOVENSKÁ POĽNOHOSPODÁRSKA UNIVERZITA V NITRE TECHNICKÁ FAKULTA ON-LINE TESTOVANIE V PREDMETE PROGRAMOVANIE Stanislav Pohuba, Bc.

SLOVENSKÁ POĽNOHOSPODÁRSKA UNIVERZITA V NITRE TECHNICKÁ FAKULTA ON-LINE TESTOVANIE V PREDMETE PROGRAMOVANIE Stanislav Pohuba, Bc. SLOVENSKÁ POĽNOHOSPODÁRSKA UNIVERZITA V NITRE TECHNICKÁ FAKULTA 2136291 ON-LINE TESTOVANIE V PREDMETE PROGRAMOVANIE 2011 Stanislav Pohuba, Bc. SLOVENSKÁ POĽNOHOSPODÁRSKA UNIVERZITA V NITRE Dr. h. c. prof.

More information

Systém pre podporu výuky teórie programovacích jazykov

Systém pre podporu výuky teórie programovacích jazykov Mendelova univerzita v Brně Provozně ekonomická fakulta Systém pre podporu výuky teórie programovacích jazykov Diplomová práca Vedúci práce: doc. Ing. Dr. Jiří Rybička Bc. Petra Pavlačičová Brno 2012 Ďakujem

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Štruktúra údajov pre kontajner XML údajov 1. Dátové prvky pre kontajner XML údajov

Štruktúra údajov pre kontajner XML údajov 1. Dátové prvky pre kontajner XML údajov Štruktúra údajov pre kontajner XML údajov 1. Dátové prvky pre kontajner XML údajov D.4 Kontajner XML údajov (XMLDataContainer) Príloha č. 11 k výnosu č. 55/2014 Z. z. [pridaná novelou č. 275/2014 Z. z.,

More information

Testovanie bieleho šumu

Testovanie bieleho šumu Beáta Stehlíková FMFI UK Bratislava Opakovanie z prednášky Vygenerujeme dáta Vygenerujeme dáta: N

More information

BGP - duálne prepojenie AS. (primary + backup spoj), s IBGP, cez virtuální L2 linky

BGP - duálne prepojenie AS. (primary + backup spoj), s IBGP, cez virtuální L2 linky BGP - duálne prepojenie AS (primary + backup spoj), s IBGP, cez virtuální L2 linky Peter Jašica Abstrakt: Cieľom tohto projektu je zhotoviť a otestovať funkčnosť BGP s dvojitým prepojením Autonómnych systémov.

More information

Textový formát na zasielanie údajov podľa 27 ods. 2 písm. f) zákona

Textový formát na zasielanie údajov podľa 27 ods. 2 písm. f) zákona Popis textového formátu a xsd schémy na zasielanie údajov podľa 27 ods. 2 písm. f) zákona (formu na zaslanie údajov si zvolí odosielateľ údajov) Textový formát na zasielanie údajov podľa 27 ods. 2 písm.

More information

Centralized lubrication systems Metering technology Mixing technology LubTec 1K dávkovacie zariadenie

Centralized lubrication systems Metering technology Mixing technology LubTec 1K dávkovacie zariadenie LubTec 1K dávkovacie zariadenie Doprava a dávkovanie jednokomponentových materiálov s vysokou presnosťou Firma LubTec s.r.o. Už viac ako 10 rokov navrhuje, plánuje a stavia 1K dávkovacie zariadenia podľa

More information

IMPLEMENTACE MODULÁRNÍ ARITMETIKY DO OBVODŮ FPGA A ASIC

IMPLEMENTACE MODULÁRNÍ ARITMETIKY DO OBVODŮ FPGA A ASIC VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV MIKROELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

More information

Automatizované vyhodnocovanie HDL modelov Bakalárska práca

Automatizované vyhodnocovanie HDL modelov Bakalárska práca Slovenská technická univerzita v Bratislave Fakulta informatiky a informačných technológií FIIT-5214-47956 Michal Behúň Automatizované vyhodnocovanie HDL modelov Bakalárska práca Študijný program: Počítačové

More information

Detekcia ukončenia výpočtu pre

Detekcia ukončenia výpočtu pre SLOVENSKÁ TECHNICKÁ UNIVERZITA Fakulta elektrotechniky a informatiky Ústav elektroniky a fotoniky Detekcia ukončenia výpočtu pre nízkopríkonové asynchrónne systémy v nanometrových technológiách Completion

More information

7 KOMUNIKÁCIA S ELEKTRICKÝMI POHONMI

7 KOMUNIKÁCIA S ELEKTRICKÝMI POHONMI 7 KOMUNIKÁCIA S ELEKTRICKÝMI POHONMI Ciele Oboznámiť sa s požiadavkami na prenos údajov v systémoch riadenia pohybu, oboznámiť sa so základnými vlastnosťami existujúcich komunikačných profilov pre aplikácie

More information

Hardware Description Languages & System Description Languages Properties

Hardware Description Languages & System Description Languages Properties Hardware Description Languages & System Description Languages Properties There is a need for executable specification language that is capable of capturing the functionality of the system in a machine-readable

More information

Peter Šantavý OPEN SOURCE

Peter Šantavý OPEN SOURCE Peter Šantavý OPEN SOURCE Sloboda Myš lienka slobodného softvéru vyviera z hlboko zakorenenej túžby v človeku po slobode - v konaní, myslení i narábaní s prostriedkami jeho každodennej potreby. Zároveň

More information

Automatizované meracie systémy. doc. Ing. Peter Kukuča, CSc. MIET KMer FEI STU

Automatizované meracie systémy. doc. Ing. Peter Kukuča, CSc. MIET KMer FEI STU Automatizované meracie systémy doc. Ing. Peter Kukuča, CSc. MIET KMer FEI STU AMS dôvody zložitosť MP a MS výkonnosť MP opakovanie merania nebezpečné prostredie nemožnosť prítomnosti obsluhy AMS výhody

More information

Prednáška 4: Modelovanie štruktúry v UML

Prednáška 4: Modelovanie štruktúry v UML Prednáška 4: Modelovanie štruktúry v UML Metódy a prostriedky špecifikácie 2013/14 Valentino Vranić Ústav informatiky a softvérového inžinierstva Fakulta informatiky a informačných technológií Slovenská

More information

}w!"#$%&'()+,-./012345<ya

}w!#$%&'()+,-./012345<ya Masarykova univerzita Fakulta informatiky }w!"#$%&'()+,-./012345

More information

SLOVENSKÁ TECHNICKÁ UNIVERZITA V BRATISLAVE STROJNÍCKA FAKULTA. OPTIMALIZÁCIA HMOTNÉHO TOKU V EXPEDÍCII V PODNIKU IKEA Components, s. r. o.

SLOVENSKÁ TECHNICKÁ UNIVERZITA V BRATISLAVE STROJNÍCKA FAKULTA. OPTIMALIZÁCIA HMOTNÉHO TOKU V EXPEDÍCII V PODNIKU IKEA Components, s. r. o. SLOVENSKÁ TECHNICKÁ UNIVERZITA V BRATISLAVE STROJNÍCKA FAKULTA OPTIMALIZÁCIA HMOTNÉHO TOKU V EXPEDÍCII V PODNIKU IKEA Components, s. r. o. DIPLOMOVÁ PRÁCA SJF-17394-40927 Študijný program : Študijný odbor:

More information

Časované automaty pri modelovaní diskrétnych systémov a diagnostike porúch

Časované automaty pri modelovaní diskrétnych systémov a diagnostike porúch Slovenská technická univerzita v Bratislave Fakulta informatiky a informačných technológií FIIT-13428-36497 Bc. Marek Sivák Časované automaty pri modelovaní diskrétnych systémov a diagnostike porúch Diplomová

More information

Constraint satisfaction problems (problémy s obmedzujúcimi podmienkami)

Constraint satisfaction problems (problémy s obmedzujúcimi podmienkami) I2AI: Lecture 04 Constraint satisfaction problems (problémy s obmedzujúcimi podmienkami) Lubica Benuskova Reading: AIMA 3 rd ed. chap. 6 ending with 6.3.2 1 Constraint satisfaction problems (CSP) We w

More information

Univerzita Komenského v Bratislave Fakulta matematiky, fyziky a informatiky. Interaktívna výuková webová aplikácia na riešenie úloh o pravdepodobnosti

Univerzita Komenského v Bratislave Fakulta matematiky, fyziky a informatiky. Interaktívna výuková webová aplikácia na riešenie úloh o pravdepodobnosti Univerzita Komenského v Bratislave Fakulta matematiky, fyziky a informatiky Interaktívna výuková webová aplikácia na riešenie úloh o pravdepodobnosti Bakalárska práca 2016 Zuzana Majeríková Univerzita

More information

ÚMRTNOSŤ NA ÚRAZY MOZGU VO VYBRANÝCH EURÓPSKYCH KRAJINÁCH

ÚMRTNOSŤ NA ÚRAZY MOZGU VO VYBRANÝCH EURÓPSKYCH KRAJINÁCH ÚMRTNOSŤ NA ÚRAZY MOZGU VO VYBRANÝCH EURÓPSKYCH KRAJINÁCH. V NEMOCNICI A MIMO NEJ Alexandra Bražinová, Veronika Rehorčíková, Mark Taylor VIII. STREDOEURÓPSKY KONGRES URGENTNEJ MEDICÍNY A MEDICÍNY KATASTROF.3-1..17

More information

Jednoradové ložiská s kosouhlým stykom - katalóg Single-Row Angular Contact Ball Bearings - Catalogue

Jednoradové ložiská s kosouhlým stykom - katalóg Single-Row Angular Contact Ball Bearings - Catalogue Jednoradové ložiská s kosouhlým stykom - katalóg Single-Row Angular Contact Ball Bearings - Catalogue PREDSLOV INTRODUCTORY REMARKS História výroby valivých ložísk AKE siaha až do Rakúsko Uhorskej monarchie.

More information

GeoGebra a JavaScript

GeoGebra a JavaScript GeoGebra a JavaScript GeoGebra and JavaScript Patrik Voštinár MESC: U70 Abstract The article deals with creating applets in mathematics software GeoGebra. GeoGebra contains a large number of tools that

More information

POSÚDENIE INFORMAČNÉHO SYSTÉMU PODNIKU A NÁVRH ZMIEN ENTERPRISE INFORMATION SYSTEM ANALYSIS AND IMPROVEMENT PROPOSALS

POSÚDENIE INFORMAČNÉHO SYSTÉMU PODNIKU A NÁVRH ZMIEN ENTERPRISE INFORMATION SYSTEM ANALYSIS AND IMPROVEMENT PROPOSALS VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA PODNIKATELSKÁ ÚSTAV INFORMATIKY FACULTY OF BUSINESS AND MANAGEMENT INSTITUTE OF INFORMATICS POSÚDENIE INFORMAČNÉHO SYSTÉMU PODNIKU A

More information

Automatizované meracie systémy. Doc. Ing. Peter Kukuča, CSc. MIEE KMer FEI STU

Automatizované meracie systémy. Doc. Ing. Peter Kukuča, CSc. MIEE KMer FEI STU Automatizované meracie systémy Doc. Ing. Peter Kukuča, CSc. MIEE KMer FEI STU AMS dôvody! zložitosť MP a MS! výkonnosť MP! opakovanie merania! nebezpečné prostredie! nemožnosť prítomnosti obsluhy AMS výhody!

More information

Transactions of the VŠB Technical University of Ostrava, Mechanical Series No. 2, 2008, vol. LIV, article No. 1632

Transactions of the VŠB Technical University of Ostrava, Mechanical Series No. 2, 2008, vol. LIV, article No. 1632 Transactions of the VŠB Technical University of Ostrava, Mechanical Series No. 2, 2008, vol. LIV, article No. 1632 Sylvia ROVŇÁKOVÁ *, Ondrej LÍŠKA ** LASER CUTTING MACHINE AND OPTIMISATION OF INPUT PARAMETERS

More information

Slovenská technická univerzita v Bratislave Fakulta informatiky a informačných technológií FIIT-XXXX-XXXXX

Slovenská technická univerzita v Bratislave Fakulta informatiky a informačných technológií FIIT-XXXX-XXXXX Toto je titulný list práce. Je súčasťou každej priebežnej či záverečnej správy (BP, DP) Slovenská technická univerzita v Bratislave Fakulta informatiky a informačných technológií FIIT-XXXX-XXXXX evidenčné

More information

Podporné prostriedky pre riadenie softvérového projektu

Podporné prostriedky pre riadenie softvérového projektu Podporné prostriedky pre riadenie softvérového projektu MAREK KOPERDÁK Slovenská technická univerzita Fakulta informatiky a informačných technológií Ilkovičova 3, 842 16 Bratislava koperdak[zavináč]gmail[.]com

More information

SENSITIVITY OF PHOTOGRAMMETRIC STRAINS MEASUREMENT ON THE DRAWN PART CITLIVOSŤ MERANIA DEFORMÁCIÍ NA VÝŤAŽKOCH POUŽITÍM FOTOGRAMETRICKEJ METÓDY

SENSITIVITY OF PHOTOGRAMMETRIC STRAINS MEASUREMENT ON THE DRAWN PART CITLIVOSŤ MERANIA DEFORMÁCIÍ NA VÝŤAŽKOCH POUŽITÍM FOTOGRAMETRICKEJ METÓDY SENSITIVITY OF PHOTOGRAMMETRIC STRAINS MEASUREMENT ON THE DRAWN PART CITLIVOSŤ MERANIA DEFORMÁCIÍ NA VÝŤAŽKOCH POUŽITÍM FOTOGRAMETRICKEJ METÓDY Ing. Miroslav Jurčišin doc. Ing. Ján Slota, PhD. Department

More information

Katedra počítačov a informatiky Fakulta elektrotechniky a informatiky Technická univerzita Košice. Informačné technológie Branislav Sobota

Katedra počítačov a informatiky Fakulta elektrotechniky a informatiky Technická univerzita Košice. Informačné technológie Branislav Sobota Katedra počítačov a informatiky Fakulta elektrotechniky a informatiky Technická univerzita Košice Informačné technológie Branislav Sobota 2006 Informačné technológie 2 Predslov Predkladané skriptá majú

More information

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY INFORMAČNÍ STRATEGIE PODNIKU FAKULTA PODNIKATELSKÁ ÚSTAV INFORMATIKY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY INFORMAČNÍ STRATEGIE PODNIKU FAKULTA PODNIKATELSKÁ ÚSTAV INFORMATIKY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA PODNIKATELSKÁ ÚSTAV INFORMATIKY FACULTY OF BUSINESS AND MANAGEMENT INSTITUTE OF INFORMATICS INFORMAČNÍ STRATEGIE PODNIKU CORPORATE INFORMATION

More information

Problém Big Data a ako ho riešiť pomocou NoSQL. Ján Zázrivec Softec

Problém Big Data a ako ho riešiť pomocou NoSQL. Ján Zázrivec Softec Problém Big Data a ako ho riešiť pomocou NoSQL Ján Zázrivec Softec Dáta dnešného sveta Oblasti kde sa spracováva veľké množstvo dát: Internet Web vyhľadávače, Sociálne siete Veda Large Hadron Collider,

More information

Transactions of the VŠB Technical University of Ostrava, Mechanical Series No. 2, 2014, vol. LX article No. 1991

Transactions of the VŠB Technical University of Ostrava, Mechanical Series No. 2, 2014, vol. LX article No. 1991 Transactions of the VŠB Technical University of Ostrava, Mechanical Series No. 2, 2014, vol. LX article No. 1991 Rastislav PIRNÍK *, Ján HALGAŠ **, Marián HRUBOŠ * and Jakub TRABALÍK * DETECTION AND IDENTIFICATION

More information

Servisne orientované architektúry (SOA)

Servisne orientované architektúry (SOA) Bankovní institut vysoká škola Praha zahraničná vysoká škola Banská Bystrica Katedra kvantitatívnych metód a informatiky Servisne orientované architektúry (SOA) Service oriented architectures (SOA) Bakalárska

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY UNIVERZITY KOMENSKÉHO BRATISLAVA. Diplomová práca

FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY UNIVERZITY KOMENSKÉHO BRATISLAVA. Diplomová práca FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY UNIVERZITY KOMENSKÉHO BRATISLAVA Proces integrácie aplikácií Diplomová práca Ondrej Svačina 2007 Univerzita Komenského v Bratislave Fakulta matematiky, fyziky a

More information

Cvičenie z PTS

Cvičenie z PTS Cvičenie z PTS 23.3.2010 riadenie + QM + CM +... Návrh systému požiadavky návrh implementácia validácia Návrh hlavným cieľom je určiť, ako bude daný SW produkt realizovaný hlavný vstup: špecifikácia požiadaviek

More information

SYSTÉM NA EVIDENCIU A KATEGORIZÁCIU

SYSTÉM NA EVIDENCIU A KATEGORIZÁCIU FAKULTA MATEMATIKY, FYZIKY A INFORMATIKY UNIVERZITA KOMENSKÉHO BRATISLAVA Bakalárska práca SYSTÉM NA EVIDENCIU A KATEGORIZÁCIU ŠTANDARDIZAČNÝCH MATERIÁLOV Eva Porvazníková vedúci bakalárskej práce: Doc.

More information

SIP v malých telekomunikačných systémoch. Convergence. A matter of lifestyle.

SIP v malých telekomunikačných systémoch. Convergence. A matter of lifestyle. SIP v malých telekomunikačných systémoch Convergence. A matter of lifestyle. Obsah Prehľad portfólia malých komunikačných systémov Aastra BusinessPhone - Úvod - Prehľad koncových telefónnych aparátov -

More information