Lecture (09) Programmable Logic Devices programming using CUPL By: Dr. Ahmed ElShafee

Size: px
Start display at page:

Download "Lecture (09) Programmable Logic Devices programming using CUPL By: Dr. Ahmed ElShafee"

Transcription

1 Lecture (09) Programmable Logic Devices programming using CUPL By: Dr. Ahmed ElShafee ١ Dr. Ahmed ElShafee, ACU : Spring 2018, CSE303 Logic design II What is Programmable Logic? Digital integrated circuits where the Boolean function can be determined by the user. PLDs can replace several specific purpose ICs in a digital design. A single PLD is functionally equivalent to a specific device containing from 5 to 10,000 gates. Typically PLDs implement Boolean functions using Sum Of Minterms (SOM) or Sum of Products (SOP) form. SOM and SOP use a AND OR gate structure. ٢

2 PLD Programming PLDs are manufactured in a "blank" or "erased" form. Programming is performed in concept blowing out fuses between inputs, AND gates, and OR gates in the generic AND OR structure. An erased PLD has all fuses intact. Actual "fuses" may be implemented as: ٣ PLD Advantages: reduce IC package count board space power shorten design time allow for future changes (maintainability) improve reliability (fewer packages) generally faster smaller inventory ٤

3 Introduction to Atmel ATF16V8C Industry standard Architecture Emulates Many 20 pin PALs Low cost Easy to use Software Tools High speed Electrically erasable Programmable Logic Devices 5 ns Maximum Pin to pin Delay Low power 100 μapin controlled Power down Mode Option CMOS and TTL Compatible Inputs and Outputs I/O Pin Keeper Circuits ٥ Advanced Flash Technology Reprogrammable 100% Tested High reliability CMOS Process 20 Year Data Retention 100 Erase/Write Cycles 2,000V ESD Protection 200 ma Latchup Immunity Commercial and Industrial Temperature Ranges Dual in line and Surface Mount Packages in ٦ Standard Pinouts

4 Designing with the CUPL Language *Cornell University Programming Language WinCUPL is a software package that runs on an PC. It performs most of the work in translating a PLD design into a programming file. The programming file can be used to program an IC to implement the desired logic functions. ٧ CUPL Programming models Combinational Use equations or Truth table Sequential Use Equations or State Machine ٨

5 File extensions File extensions that will be useful to know PLD DOC ABS LST JED SI SO ٩ Created by the user Contains all of the logic instructions necessary for your device, i.e. the cupl program itself. Generated by CUPL Contains all of the logic equations that CUPL generated from your program Tells you errors encountered in compiling the program (including location) Provides information about how it fit the compiled logic into the selected device. Generated by CUPL File used by CUPL to perform the simulation Generated by CUPL Error Listing file that contains all the original lines of code numbered. All errors are listed at the end with offending line number. Generated by CUPL File used by the programmer to actually burn the chip Filename comes from the Name field in the pld file header Simulation Input file created by user Contains your list of test vectors Simulation Output file generated by CUPL Contains the results of the simulation run including any errors Used for the Dr. graphical Ahmed ElShafee, display of ACU your : simulation Spring 2018, results CSE303 Logic design II CUPL Language Numbers can be represented in binary, octal, decimal, or hexadecimal. Pin numbers and indexed variable will always be represented in decimal. The default base for all other number is hexadecimal. To indicate a particular base, precede the number will the particular prefix (which is not case sensitive). ١٠

6 ١١ Variables are case sensitive cannot have spaces cannot use reserved words or symbols ١٢

7 Reserved Symbols & Symbol sets ١٣ Indexed Variables Example: [A0, A1, A2, A3] might be a 4 bit address Indexing should always start from 0, not 1 or such. Another notation for these is [A0..3] when using the entire group The index cannot be greater than 31 ١٤

8 CUPL program format There are three parts to a CUPL file (a.pld file) Header Declarations Main body ١٥ Header The following are the basic header fields: All of the header fields are required to be present in the file, but only the Name field is required to have a real value in it. It will be used Dr. Ahmed as ElShafee, the ACU name : Spring for 2018, CSE303 the JEDEC Logic design II output file ١٦ Name XXXXX; Partno XXXXX; Date XX/XX/XX; Revision XX; Designer XXXXX; Company XXXXX; Assembly XXXXX; Location XXXXX; Device XXXXX;

9 Declarations The standard place to set up all your variables for the program. Pin Assignment This section allows you specifically assign variable names to individual pins on the part. You need to make sure that your assignment of pins does not conflict with device specification of pins (i.e. clock, input only, input or output, etc.). Pin 1 =!a; Assign pin 1 to be "not a". The optional '!' defines the polarity. Pin [2..5] = [b, c, d, e]; assign pin 2=b, pin 3=c, pin 4=d, pin5=e Pin [6,10] = [f,g]; Assign pin 6 to f and pin 7 to g. ١٧ The polarity selection allows you to choose whether the signal is active high or active low. Default, without a bang, is active high. This allows you to simply write equations without having to worry about if the signal is active high or low. You can simply refer to it as being asserted or not. e.g. Pin 2 =!A; Pin 3 =!B; Pin 16 = Y; Y = A & B; Y will be true (high) when both A and B are true (both are low). ١٨

10 Bit Field Declaration Bit Fields allow you to refer to a group of bits by a single variable e.g. FIELD Data = [D0..D7]; Assigns Data name to group of bits. FIELD Control = [strobe,ack,rdy]; Also works on individual pins. ١٩ Preprocessor Commands Just as in C programming you can use preprocessor commands. The most common command used is the $DEFINE. e.g. $DEFINE ON 'b'1 $DEFINE OFF b 0 ٢٠

11 Main body Arithmetic Operations They must also appear in braces {}. ٢١ Logic equations ٢٢

12 Truth Table TABLE var_1 => var_2 { input_n => output_n; input_y => output_y; } FIELD input = [In3..0]; FIELD output = [out4..0]; TABLE input => output { h 0=> d 00; h 1=> d 01; h 2=> d 02; h 3=> d 03; h 4=> d 04; h 5=> d 05; h 6=> d 06; h 7=> d 07; h 8=> d 08; h 9=> d 09; h A=> d 10; h B=> d 11; h C=> d 12; h D=> d 13; h E=> d 14; h F=> d 15; } ٢٣ FIELD INPUT = [x,y,z]; FIELD OUTPUT = [A,B]; * Truth Table * TABLE INPUT => OUTPUT { 0=>'b'01; 1=>'b'00; 2=>'b'10; 3=>'b'11; 4=>'b'01; 5=>'b'11; 6=>'b'00; 7=>'b'01; } ٢٤

13 Condition Statement CONDITION { if expr0 OUT var1; if exprn OUT var2; default OUT var3; } ٢٥ PIN [1,2] = [A,B] ; Data Inputs PIN 3 =!enable ; Enable Input PIN [12..15] = [Y0..3] ; Decoded Outputs PIN 14 = no_match ; Match Output CONDITION { IF enable &!B &!A out Y0; IF enable &!B & A out Y1; IF enable & B &!A out Y2; IF enable & B & A out Y3; default no_match; } ٢٦

14 Working with wincupl Selecting your device ٢٧ ٢٨

15 Compiling options ٢٩ ٣٠

16 ٣١ Miscellaneous Options ٣٢

17 Secure device: Adds necessary code to allow the programmer to blow the security fuse of the device. Generally you won't want to do this since you aren't actually producing a product. I'm also not sure if this functionality is available with Allpro. Deactivate Unused OR Terms: Normally on an OR gate array output, unused OR gate inputs are left connected to the product term array so that new terms may be added. By selecting this option, all unused inputs will be disconnected, thereby reducing propagation delay through the device. ٣٣ Simulate & Display Waveform: These two options allow you to perform compilation and simulation all in one step. Make sure that the Display Waveform option is not checked unless you are also simulating. I would suggest that you don't use this as it can become a pain as you are trying to debug syntax of your program. One Hot bit State Machine: To use this, you need to define each state with a one hot bit code. Checking this will then allow CUPL to use slightly different optimization techniques. The results will vary depending on the part being used. Generally leave ٣٤ this unchecked.

18 JEDEC Name = Filename: This forces cupl to make the name of your output file (JED) the same as your program name (PLD) rather than using the name specified in the NAME field of the header ٣٥ ٣٦

19 ٣٧ Gates simulation example ٣٨

20 ٣٩ ٤٠

21 ٤١ ٤٢

22 ٤٣ Name Gates; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * Inputs: Pin 2 = a; Pin 3 = b; ٤٤

23 * Outputs: Pin 12 = xor; Pin 13 = or; Pin 14 = nand; Pin 15 = and; Pin 16 = invb; Pin 17 = inva; Pin 18 = repb; Pin 19 = repa; * code repa = a; buffer repb = b; inva =!a; inverters invb =!b; and = a & b; and gate nand =!(a & b); nand gate or = a # b; or gate nor = ٤٥!(a # b); nor gate xor = a $ b; exclusive or gate ٤٦

24 Name decoder; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * Inputs: Pin 2 = a; Pin 3 = b; Pin 4 = c; * Outputs: * Pin 19 = A0; Pin 18 = A1; Pin 17 = A2; Pin 16 = A3; Pin 15 ٤٧ = A4; Decoder Pin 14 = A5; Pin 13 = A6; Pin 12 = A7; * DECLERATION FIELD INPUT = [c,b,a]; FIELD OUTPUT = [A7,A6,A5,A4,A3,A2,A1,A0]; * CODE TABLE INPUT => OUTPUT { 'b'000=>'b' ; 'b'001=>'b' ; 'b'010=>'b' ; 'b'011=>'b' ; 'b'100=>'b' ; 'b'101=>'b' ; 'b'110=>'b' ; 'b'111=>'b' ; { Security System Security system installed in a house; consists of Door sensor (D) : 0 = closed; 1= opened Light sensor (L): 0 = night; 1 = day Windows (w) : 0: closed; 1 = opened Alarm (A) : 0 = no alarm; 1 = Alarm System is designed to protect home at day and night, that if door is opened during day light; alarm will not be activated, if door is open during night; alarm will be activated. If window is opened during day light; alarm will not be activated, if door is open during night; alarm will be activated. ٤٨

25 L D W A A = L D W+L DW +L DW ٤٩ Name SecuritySystem; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * code A = (!L&!D&W) # (!L&D&!W) # (!L&D&W); * Inputs: Pin 2 = L ; Pin 3 = D ; Pin 4 = W; * Outputs: Pin 19 = A; x ٥٠

26 Counter 2 Q1(n) Q0(n) Q1(n+1) Q0(n+1) Q0(n+1)= Q1(n) & Q0(n) or Q1(n) & Q0(n) Q1(n+1)=Q1(n) & Q0(n) or Q1(n) & Q0(n) ٥١ Name Counter2; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * code q0.d =! reset & (! q0 &!q1 #!q0 & q1 ); q1.d =! reset & (! q0 & q1 # q0 &!q1 ); * Inputs: Pin 1 = clock ; Pin 2 = reset ; Pin 11 =!oe; * Outputs: Pin 19 = q0; Pin 18 = q1; ٥٢

27 Name Counter2'; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * Inputs: Pin 1 = clock ; Pin 2 = Reset; Pin 11 =!oe; * Outputs: Pin [18..19] = [Q1..0]; ٥٣ * Deceleration field count = [Q1..0]; $define S0 'b'00 $define S1 'b'01 $define S2 'b'10 $define S3 'b'11 * code Sequenced count { free running counter present S0 if!reset next S1; if Reset next S0; present S1 if!reset next S2; if Reset next S0; present S2 if!reset next S3; if Reset next S0; present S3 if!reset next S0; if Reset next S0; { Counter 3 Q2(n 1) Q1(n 1) Q0(n 1) Q2(n) Q1(n) Q0(n) qo.d =! reset & (!q2 &!q1 &!q0 #!q2 & q1 &!q0 # q2 &!q1 &!q0 # q2 & q1 &!q0); q1.d =! reset & (!q2 &!q1 & q0 #!q2 & q1 &!q0 # q2 &!q1 & q0 # q2 & q1 &!q0); q2.d =! reset & (!q2 & q1 & q0 # q2 &!q1 &!q0 # q2 &!q1 & q0 # q2 & q1 &!q0); ٥٤

28 Name Counter3; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * Inputs: Pin 1 = clock ; Pin 2 = reset ; Pin 11 =!oe; * Outputs: Pin 19 = q0; Pin 18 = q1; Pin 17 = q2; ٥٥ * code qo.d =! reset & (!q2 &!q1 &!q0 #!q2 & q1 &!q0 # q2 &!q1 &!q0 # q2 & q1 &!q0); q1.d =! reset & (!q2 &!q1 & q0 #!q2 & q1 &!q0 # q2 &!q1 & q0 # q2 & q1 &!q0); q2.d =! reset & (!q2 & q1 & q0 # q2 &!q1 &!q0 # q2 &!q1 & q0 # q2 & q1 &!q0); Name Counter3; Partno 0; Revision 0; Date 9/12/18; Designer AFee; Company ACU; Location None; Assembly None; Device g16v8a; * Inputs: Pin 1 = clock ; Pin 2 = Reset ; Pin 11 =!oe; * Outputs: Pin [17..19] = [Q2..0]; * Deceleration ٥٦ field count = [Q2..0]; $define S0 'b'000 $define S1 'b'001 $define S2 'b'010 $define S3 'b'011 $define S4 'b'100 $define S5 'b'101 $define S6 'b'110 $define S7 'b'111 * code Sequenced count { free running counter present S0 if!reset next S1; if Reset next S0; present S1 if!reset next S2; if Reset next S0; present S2 if!reset next S3; if Reset next S0; present S3 if!reset next S4; if Reset next S0; present S4 if!reset next S5; if Reset next S0;

29 present S5 if!reset next S6; if Reset next S0; present S6 if!reset next S7; if Reset next S0; present S7 if!reset next S0; S0; { if Reset next ٥٧ Adder Name ٥٨ Adder4; Partno 0; Revision 0; Date 9/12/18; Designer Company Location Assembly Device * Inputs: AFee; ACU; None; None; g16v8a; Pin [2..5] = [X1..4]; Pin [6..9] = [Y1..4]; * Outputs: pin 15 = Carry; pin 12 = C3; pin 13 = C2; pin 14 = C1; pin 16 = Z4; pin 17 = Z3; pin 18 = Z2; pin 19 = Z1; pin 19 = Carry; pin 18 = C3; pin 17 = C2; pin 16 = C1;

30 pin 15 = Z4; pin 14 = Z3; pin 13 = Z2; pin 12 = Z1; Pin [12..15] = [Z1..4]; * Pin [16..18] = [C1..3]; * Pin 19 = Carry; * code function adder_slice(x, Y, Cin, Cout) { Cout = Cin & X Compute carry # Cin & Y # X & Y; adder_slice = Cin $ (X $ Y); Compute sum { Z1 = adder_slice(x1, Y1, 'h'0, C1); Initial carry = 'h'0 Z2 = adder_slice(x2, Y2, C1, C2); Z3 = adder_slice(x3, Y3, C2, C3); Z4 = adder_slice(x4, Y4, C3, Carry); Get final carry value ٥٩ Name Seven Segment Display Decoder Partno 0; Revision 0; Date 9/12/18; Designer Company Location Assembly Device ٦٠ 7SegmentsDecoder; AFee; ACU; None; None; g16v8a; * Inputs: pin [2..5] = [D0..3]; * Outputs: pin [19..13] =![a,b,c,d,e,f,g];

31 *Declarations field data = [D3..0]; field segment =[a,b,c,d,e,f,g]; $define ON 'b'1 $define OFF 'b'0 * code segment = 0 [ ON, ON, ON, ON, ON, ON, OFF ] & data :0 1 # [OFF, ON, ON, OFF, OFF, OFF, OFF] & data :1 2 # [ ON, ON, OFF, ON, ON, OFF, ON] & data :2 3 # [ ON, ON, ON, ON, OFF, OFF, ON] & data :3 4 # [OFF, ON, ON, OFF, OFF, ON, ON] & data :4 5 # [ ON, OFF, ON, ON, OFF, ON, ON] & data :5 6 # [ ON, OFF, ON, ON, ON, ON, ON] & data :6 7 # [ ON, ON, ON, OFF, OFF, OFF, ON] & data :7 8 # [ ON, ON, ON, ON, ON, ON, OFF ] & data :8 9 # [ ON, ON, ON, ON, OFF, ON, ON] & data :9 A # [ ON, ON, ON, OFF, ON, ON, ON] & data :A B # [OFF, OFF, ON, ON, ON, ON, ON] & data :B C # [ ON, OFF, OFF, ON, ON, ON, OFF ] & data :C D # [OFF, ON, ON, ON, ON, OFF, ON] & data :D E # [ ON, OFF, OFF, ON, ON, ON, ON] & data :E F ٦١ # [ ON, OFF, OFF, Dr. OFF Ahmed, ON ElShafee,, ON ACU, ON] : Spring & 2018, data CSE303 :F; Logic design II Thanks,.. ٦٢ Dr. Ahmed ElShafee, ACU : Spring 2018, CSE303 Logic design II

Programmable Logic Devices I. EECE143 Lecture 4. PALs, GALs & CUPL. A lesson on Programmable Logic Devices and programming them using CUPL

Programmable Logic Devices I. EECE143 Lecture 4. PALs, GALs & CUPL. A lesson on Programmable Logic Devices and programming them using CUPL PALs, GALs & CUPL A lesson on Programmable Logic Devices and programming them using CUPL What is Programmable Logic? Digital integrated circuits where the Boolean function can be determined by the user.

More information

PALs, GALs & CUPL. What is Programmable Logic?

PALs, GALs & CUPL. What is Programmable Logic? PALs, GALs & CUPL A lesson on Programmable Logic Devices and programming them using CUPL What is Programmable Logic? Digital integrated circuits where the Boolean function can be determined by the user.

More information

More Programming with CUPL

More Programming with CUPL More Programming with CUPL Compiling PLD File Use WinCUPL or use another text editor to create your file Save your file with the extension.pld Compile using WinCUPL make sure you have no errors. Save your.jed

More information

ATF16V8B. High Performance Flash PLD. Features. Block Diagram. Description. Pin Configurations

ATF16V8B. High Performance Flash PLD. Features. Block Diagram. Description. Pin Configurations Features Industry Standard Architecture Emulates Many 20-Pin PALs Low Cost Easy-to-Use Software Tools High Speed Electrically Erasable Programmable Logic Devices 7.5 ns Maximum Pin-to-Pin Delay Several

More information

ATF20V8B. High Performance Flash PLD. Features. Block Diagram. Pin Configurations

ATF20V8B. High Performance Flash PLD. Features. Block Diagram. Pin Configurations Features Industry Standard Architecture Emulates Many 24-Pin PALs Low Cost Easy-to-Use Software Tools High Speed Electrically Erasable Programmable Logic Devices 7.5 ns Maximum Pin-to-Pin Delay Several

More information

High- Performance Flash PLD ATF16V8B. Features. Block Diagram. Pin Configurations

High- Performance Flash PLD ATF16V8B. Features. Block Diagram. Pin Configurations Features Industry Standard Architecture Emulates Many 20-Pin PALs Low Cost Easy-to-Use Software Tools High-Speed Electrically Erasable Programmable Logic Devices 7.5 ns Maximum Pin-to-Pin Delay Several

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

NAND. Grade (10) Instructor. Logic Design 1 / 13

NAND. Grade (10) Instructor. Logic Design 1 / 13 Logic Design I Laboratory 02 NAND NOR XOR # Student ID 1 Student Name Grade (10) Instructor signature 2 3 Delivery Date 1 / 13 Objective To find the basic NAND & NOR & XOR gates concept and study on multiple

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

Industry Standard Architecture Emulates Many 20-pin PALs Low-cost, Easy to Use Software Tools. Advanced Flash Technology Reprogrammable 100% Tested

Industry Standard Architecture Emulates Many 20-pin PALs Low-cost, Easy to Use Software Tools. Advanced Flash Technology Reprogrammable 100% Tested ATF16V8C High Performance Electrically-Erasable PLD DATASHEET Features Industry Standard Architecture Emulates Many 20-pin PALs Low-cost, Easy to Use Software Tools High Speed Electrically-Erasable Programmable

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 4: CAD tools (Continued) Dr. Mohamed Abd El Ghany, Basic VHDL Concept Via an Example Problem: write VHDL code for 1-bit adder 4-bit adder 2 1-bit adder Inputs: A (1 bit)

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

Programmable Logic Devices (PLDs)

Programmable Logic Devices (PLDs) Programmable Logic Devices (PLDs) 212: Digital Design I, week 13 PLDs basically store binary information in a volatile/nonvolatile device. Data is specified by designer and physically inserted (Programmed)

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Programmable Logic Devices Fig. (1) General structure of PLDs Programmable Logic Device (PLD): is an integrated circuit with internal logic gates and/or connections that can

More information

ENGIN 241 Digital Systems with Lab

ENGIN 241 Digital Systems with Lab ENGIN 241 Digital Systems with Lab (4) Dr. Honggang Zhang Engineering Department University of Massachusetts Boston 1 Introduction Hardware description language (HDL): Specifies logic function only Computer-aided

More information

ECE 2030B 1:00pm Computer Engineering Spring problems, 5 pages Exam Two 10 March 2010

ECE 2030B 1:00pm Computer Engineering Spring problems, 5 pages Exam Two 10 March 2010 Instructions: This is a closed book, closed note exam. Calculators are not permitted. If you have a question, raise your hand and I will come to you. Please work the exam in pencil and do not separate

More information

LECTURE 4. Logic Design

LECTURE 4. Logic Design LECTURE 4 Logic Design LOGIC DESIGN The language of the machine is binary that is, sequences of 1 s and 0 s. But why? At the hardware level, computers are streams of signals. These signals only have two

More information

ECE 2020B Fundamentals of Digital Design Spring problems, 6 pages Exam Two Solutions 26 February 2014

ECE 2020B Fundamentals of Digital Design Spring problems, 6 pages Exam Two Solutions 26 February 2014 Problem 1 (4 parts, 21 points) Encoders and Pass Gates Part A (8 points) Suppose the circuit below has the following input priority: I 1 > I 3 > I 0 > I 2. Complete the truth table by filling in the input

More information

R07

R07 www..com www..com SET - 1 II B. Tech I Semester Supplementary Examinations May 2013 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, EIE, BME, ECC) Time: 3 hours Max. Marks: 80 Answer any FIVE Questions

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2018/2019 Dept. of Computer Engineering Course Title: Logic Circuits Date: 03/01/2019

More information

that system. weighted value associated with it. numbers. a number. the absence of a signal. MECH 1500 Quiz 2 Review Name: Class: Date:

that system. weighted value associated with it. numbers. a number. the absence of a signal. MECH 1500 Quiz 2 Review Name: Class: Date: Name: Class: Date: MECH 1500 Quiz 2 Review True/False Indicate whether the statement is true or false. 1. The decimal system uses the number 9 as its base. 2. All digital computing devices perform operations

More information

Introduction to Programmable Logic Devices (Class 7.2 2/28/2013)

Introduction to Programmable Logic Devices (Class 7.2 2/28/2013) Introduction to Programmable Logic Devices (Class 7.2 2/28/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Today s Topics Complexity issues Implementation

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Combinational circuit consists of an interconnection of logic gates They react to their inputs and produce their outputs by transforming binary information n input binary variables

More information

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process.

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. Conceptualize A Design Problem Select Device Implement Design

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

Contents. Appendix D Verilog Summary Page 1 of 16

Contents. Appendix D Verilog Summary Page 1 of 16 Appix D Verilog Summary Page 1 of 16 Contents Appix D Verilog Summary... 2 D.1 Basic Language Elements... 2 D.1.1 Keywords... 2 D.1.2 Comments... 2 D.1.3 Identifiers... 2 D.1.4 Numbers and Strings... 3

More information

TSSOP CLK/IN IN IN IN IN IN IN IN IN IN IN GND VCC IN I/O I/O I/O I/O I/O I/O I/O I/O IN OE/IN CLK/IN 1 VCC

TSSOP CLK/IN IN IN IN IN IN IN IN IN IN IN GND VCC IN I/O I/O I/O I/O I/O I/O I/O I/O IN OE/IN CLK/IN 1 VCC * Features Industry Standard Architecture Emulates Many 24-pin PALs Low-cost Easy-to-use Software Tools High-speed Electrically-erasable Programmable Logic Devices 7.5 ns Maximum Pin-to-pin Delay Several

More information

Review: Chip Design Styles

Review: Chip Design Styles MPT-50 Introduction to omputer Design SFU, Harbour entre, Spring 007 Lecture 9: Feb. 6, 007 Programmable Logic Devices (PLDs) - Read Only Memory (ROM) - Programmable Array Logic (PAL) - Programmable Logic

More information

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1 CompE 270 Digital Systems - 5 Programmable Logic Ken Arnold Objective Application Specific ICs Introduce User Programmable Logic Common Architectures Programmable Array Logic Address Decoding Example Development

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

Objectives: 1. Design procedure. 2. Fundamental circuits. 1. Design procedure

Objectives: 1. Design procedure. 2. Fundamental circuits. 1. Design procedure Objectives: 1. Design procedure. 2. undamental circuits. 1. Design procedure Design procedure has five steps: o Specification. o ormulation. o Optimization. o Technology mapping. o Verification. Specification:

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

Presentation 4: Programmable Combinational Devices

Presentation 4: Programmable Combinational Devices Presentation 4: Programmable Combinational Devices Asst. Prof Dr. Ahmet ÖZKURT DEUEEE Based on the Presentation by Prof. Kim, Young Ho Dept. of Information Computer Engineering E-mail : yhkim@hyowon.cs.pusan.ac.kr

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1 ASSALAM O ALAIKUM all fellows ALL IN ONE Mega File CS302 Midterm PAPERS, MCQz & subjective Created BY Farhan& Ali BS (cs) 3rd sem Hackers Group Mandi Bahauddin Remember us in your prayers Mindhacker124@gmail.com

More information

ECE 2020B Fundamentals of Digital Design Spring problems, 6 pages Exam Two 26 February 2014

ECE 2020B Fundamentals of Digital Design Spring problems, 6 pages Exam Two 26 February 2014 Instructions: This is a closed book, closed note exam. Calculators are not permitted. If you have a question, raise your hand and I will come to you. Please work the exam in pencil and do not separate

More information

MULTIMEDIA COLLEGE JALAN GURNEY KIRI KUALA LUMPUR

MULTIMEDIA COLLEGE JALAN GURNEY KIRI KUALA LUMPUR STUDENT IDENTIFICATION NO MULTIMEDIA COLLEGE JALAN GURNEY KIRI 54100 KUALA LUMPUR SECOND SEMESTER FINAL EXAMINATION, 2013/2014 SESSION ITC2223 COMPUTER ORGANIZATION & ARCHITECTURE DSEW-E-F 1/13 18 FEBRUARY

More information

Question Total Possible Test Score Total 100

Question Total Possible Test Score Total 100 Computer Engineering 2210 Final Name 11 problems, 100 points. Closed books, closed notes, no calculators. You would be wise to read all problems before beginning, note point values and difficulty of problems,

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Programmable Logic Devices. PAL & Jedec Programming

Programmable Logic Devices. PAL & Jedec Programming Programmable Logic Devices PAL & Jedec Programming PAL Devices: PAL = Programmable Array Logic The PAL naming is trademark of the AMD Firm, but Lattice also use these circuits. The PAL device is a PLD

More information

Microcomputers. Outline. Number Systems and Digital Logic Review

Microcomputers. Outline. Number Systems and Digital Logic Review Microcomputers Number Systems and Digital Logic Review Lecture 1-1 Outline Number systems and formats Common number systems Base Conversion Integer representation Signed integer representation Binary coded

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7]

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7] Code No: A109211202 R09 Set No. 2 1. (a) Explain the purpose of the following registers: i. IR ii. PC iii. MDR iv. MAR. (b) Explain with an example the steps in subtraction of two n-digit unsigned numbers.

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

1 /8_ 2 /12 3 /12 4 /25 5 /12 6 /15 7 /16

1 /8_ 2 /12 3 /12 4 /25 5 /12 6 /15 7 /16 M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE 6.S084 Computation Structures Spring 2018 Practice Quiz #1 1 /8_ 2 /12 3 /12

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

PEEL 16V8-15/-25 CMOS Programmable Electrically Erasable Logic

PEEL 16V8-15/-25 CMOS Programmable Electrically Erasable Logic -5/-25 CMOS Programmable Electrically Erasable Logic Compatible with Popular 6V8 Devices 6V8 socket and function compatible Programs with standard 6V8 JEDEC file 20-pin DP and PLCC packages CMOS Electrically

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

Highperformance EE PLD ATF16V8B ATF16V8BQ ATF16V8BQL. Features. Block Diagram. All Pinouts Top View

Highperformance EE PLD ATF16V8B ATF16V8BQ ATF16V8BQL. Features. Block Diagram. All Pinouts Top View Features Industry-standard Architecture Emulates Many 20-pin PALs Low-cost Easy-to-use Software Tools High-speed Electrically-erasable Programmable Logic Devices 7.5 ns Maximum Pin-to-pin Delay Several

More information

Using Programmable Logic and the PALCE22V10

Using Programmable Logic and the PALCE22V10 Using Programmable Logic and the PALCE22V10 Programmable logic chips (like the PALCE22V10) provide a convenient solution for glue logic and state machine control required by your design. A single PAL chip

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17320 21718 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Figures to the right indicate full marks. (4) Assume suitable data,

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SUBJECT: CSE 2.1.6 DIGITAL LOGIC DESIGN CLASS: 2/4 B.Tech., I SEMESTER, A.Y.2017-18 INSTRUCTOR: Sri A.M.K.KANNA

More information

Systems Programming. Lecture 2 Review of Computer Architecture I

Systems Programming.   Lecture 2 Review of Computer Architecture I Systems Programming www.atomicrhubarb.com/systems Lecture 2 Review of Computer Architecture I In The Book Patt & Patel Chapter 1,2,3 (review) Outline Binary Bit Numbering Logical operations 2's complement

More information

Purdue IMPACT 2015 Edition by D. G. Meyer. Introduction to Digital System Design. Module 2 Combinational Logic Circuits

Purdue IMPACT 2015 Edition by D. G. Meyer. Introduction to Digital System Design. Module 2 Combinational Logic Circuits Purdue IMPACT 25 Edition by D. G. Meyer Introduction to Digital System Design Module 2 Combinational Logic Circuits Glossary of Common Terms DISCRETE LOGIC a circuit constructed using small-scale integrated

More information

1 /10 2 /12 3 /16 4 /30 5 /12 6 /20

1 /10 2 /12 3 /16 4 /30 5 /12 6 /20 M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE 6.004 Computation Structures Fall 2018 Practice Quiz #1 1 /10 2 /12 3 /16 4

More information

DIGITAL ELECTRONICS. P41l 3 HOURS

DIGITAL ELECTRONICS. P41l 3 HOURS UNIVERSITY OF SWAZILAND FACUL TY OF SCIENCE AND ENGINEERING DEPARTMENT OF PHYSICS MAIN EXAMINATION 2015/16 TITLE OF PAPER: COURSE NUMBER: TIME ALLOWED: INSTRUCTIONS: DIGITAL ELECTRONICS P41l 3 HOURS ANSWER

More information

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

Digital Systems Design with PLDs and FPGAs Kuruvilla Varghese Department of Electronic Systems Engineering Indian Institute of Science Bangalore

Digital Systems Design with PLDs and FPGAs Kuruvilla Varghese Department of Electronic Systems Engineering Indian Institute of Science Bangalore Digital Systems Design with PLDs and FPGAs Kuruvilla Varghese Department of Electronic Systems Engineering Indian Institute of Science Bangalore Lecture-32 Simple PLDs So welcome to just lecture on programmable

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device Features

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device Features PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device Features Multiple Speed Power, Temperature Options - VCC = 5 Volts ±10% - Speeds ranging from 5ns to 25 ns - Power as low

More information

EECS 150 Homework 7 Solutions Fall (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are:

EECS 150 Homework 7 Solutions Fall (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are: Problem 1: CLD2 Problems. (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are: C 0 = A + BD + C + BD C 1 = A + CD + CD + B C 2 = A + B + C + D C 3 = BD + CD + BCD + BC C 4

More information

Midterm Exam Review. CS 2420 :: Fall 2016 Molly O'Neil

Midterm Exam Review. CS 2420 :: Fall 2016 Molly O'Neil Midterm Exam Review CS 2420 :: Fall 2016 Molly O'Neil Midterm Exam Thursday, October 20 In class, pencil & paper exam Closed book, closed notes, no cell phones or calculators, clean desk 20% of your final

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 22 121115 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Binary Number Representation Binary Arithmetic Combinatorial Logic

More information

Digital Logic Design Exercises. Assignment 1

Digital Logic Design Exercises. Assignment 1 Assignment 1 For Exercises 1-5, match the following numbers with their definition A Number Natural number C Integer number D Negative number E Rational number 1 A unit of an abstract mathematical system

More information

ENEE245 Digital Circuits and Systems Lab Manual

ENEE245 Digital Circuits and Systems Lab Manual ENEE245 Digital Circuits and Systems Lab Manual Department of Engineering, Physical & Computer Sciences Montgomery College Modified Fall 2017 Copyright Prof. Lan Xiang (Do not distribute without permission)

More information

Fig. 6-1 Conventional and Array Logic Symbols for OR Gate

Fig. 6-1 Conventional and Array Logic Symbols for OR Gate 6- (a) Conventional symbol (b) Array logic symbol Fig. 6- Conventional and Array Logic Symbols for OR Gate 2 Prentice Hall, Inc. 6-2 k address lines Read n data input lines emory unit 2 k words n bits

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

ECE 2030D Computer Engineering Spring problems, 5 pages Exam Two 8 March 2012

ECE 2030D Computer Engineering Spring problems, 5 pages Exam Two 8 March 2012 Instructions: This is a closed book, closed note exam. Calculators are not permitted. If you have a question, raise your hand and I will come to you. Please work the exam in pencil and do not separate

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

PEEL 20V8-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 20V8-15/-25 CMOS Programmable Electrically Erasable Logic Device Preliminary Commercial -15/-25 CMOS Programmable Electrically Erasable Logic Device Compatible with Popular 20V8 Devices 20V8 socket and function compatible Programs with standard 20V8 JEDEC file 24-pin

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Unit 6.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2. Logic Logic and Computer Design Fundamentals Part Implementation

More information

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2006

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2006 Introduction to Digital Logic ENGR 34 Computer Architecture Mark L. Chang Fall 26 Acknowledgements Patterson & Hennessy: Book & Lecture Notes Patterson s 997 course notes (U.C. Berkeley CS 52, 997) Tom

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

Experiment 9: Binary Arithmetic Circuits. In-Lab Procedure and Report (30 points)

Experiment 9: Binary Arithmetic Circuits. In-Lab Procedure and Report (30 points) ELEC 2010 Laboratory Manual Experiment 9 In-Lab Procedure Page 1 of 7 Experiment 9: Binary Arithmetic Circuits In-Lab Procedure and Report (30 points) Before starting the procedure, record the table number

More information

Lecture 32: SystemVerilog

Lecture 32: SystemVerilog Lecture 32: SystemVerilog Outline SystemVerilog module adder(input logic [31:0] a, input logic [31:0] b, output logic [31:0] y); assign y = a + b; Note that the inputs and outputs are 32-bit busses. 17:

More information

Lecture (04) Boolean Algebra and Logic Gates

Lecture (04) Boolean Algebra and Logic Gates Lecture (4) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Dr. Ahmed ElShafee, ACU : Spring 26, Logic Design Boolean algebra properties basic assumptions and properties: Closure law A set S is

More information

Lecture (04) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee

Lecture (04) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee Lecture (4) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee Boolean algebra properties basic assumptions and properties: Closure law A set S is closed with respect to a binary operator, for every

More information

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER Gate-Level Minimization BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Complexity of Digital Circuits Directly related to the complexity of the algebraic expression we use to

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER Boolean Algebra BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 5 Boolean Algebra /2 A set of elements B There exist at least two elements x, y B s. t. x y Binary operators: +

More information

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY No part of this publication may be reproduced or distributed in any form or any means, electronic, mechanical, photocopying, or otherwise without the prior permission of the author. GATE SOLVED PAPER Computer

More information

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni-625531 Question Bank for the Units I to V SEMESTER BRANCH SUB CODE 3rd Semester B.E. / B.Tech. Electrical and Electronics Engineering

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

Chapter Three. Digital Components

Chapter Three. Digital Components Chapter Three 3.1. Combinational Circuit A combinational circuit is a connected arrangement of logic gates with a set of inputs and outputs. The binary values of the outputs are a function of the binary

More information

Combinational Logic Circuits

Combinational Logic Circuits Combinational Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information