With design complexity increasing significantly

Size: px
Start display at page:

Download "With design complexity increasing significantly"

Transcription

1 With design complexity increasing significantly over the years, the verification of asynchronous designs has become one of the biggest challenges in modern systems-on-a-chip (SoCs). Functional simulation, linting, and other traditional verification methods have proven to be inadequate when it comes to accurately and exhaustively verifying asynchronous designs. These verification methods are manual, error-prone, and partial. The problem is that they rely on the designer s or verification engineer s capability to accurately comprehend the architectural specification and verify all of the possible complex scenarios that can occur in the design. Asynchronous design challenges have proven particularly hard to verify using traditional verification methods. Such methods include modeling non-deterministic behavior in asynchronous clock-domain crossings (CDCs), modeling phase and frequency jitter accurately, datapath verification, low-power designs with complex clocking, and more. If these complex and hard-to-identify asynchronous design issues aren t detected and verified early on, they can potentially lead to re-spins. The costs associated with them are enormous. Formal verification is an ideal candidate for verifying tough SoC design challenges. It can exhaustively verify all possible complex scenarios without any need for a testbench or input stimulus. With formal verification, a designer or verification engineer doesn t have to spend time simulating all possible scenarios. The formal engines carry out this task under the hood, which results in increased confidence. This approach eliminates the uncertainty of not verifying a scenario that s either difficult to think of or is missed due to design complexity. Examples of Asynchronous Designs PHY and other mixed-signal blocks: A physical-layer (PHY) block deals with unpredictable inputs due to noise from the analog line and the asynchronous timing associated with the inputs possibly resulting in deadlock situations. Following are some of the issues associated with verifying a PHY block and other mixed-signal designs: by Carlo Del Giglio and Alok Sanghavi, Jasper Design Automation Formal Verification Solves Asynchronous Design Challenges New solutions reduce dependence on functional simulation and provide increased confidenc Verifying droppage of a packet when a cyclicredundancy-check (CRC) error occurs, duplication of packets (multi-cast packet in which one packet is duplicated in multiple output ports), or reordering of packets whereby high-priority packets will be reordered in front of a low-priority packet. Verifying asymmetrical input and output ports (for example, ports with different data and address widths, one input packet broken into multiple output packets, multiple input packets combined into a single or fewer packets at the output, different clock domains between input and output ports, standard protocols, etc.). Verifying different input to output delays. For example, many configurations of fixed delays may exist as well as a range of variable delays. Low-power designs with complex clocking: The number of power domains and different power modes in a design is constantly increasing. Low-power verification requires designers to exhaustively verify power-up/down sequences, proper state-saving and restoring steps, and data integrity during state changes. This requirement prevents power problems that are both structural (demanding respin) and temporal (violating the power specification and possibly requiring respin). Clock-tree optimization and clock gating with possible asynchronous clock-domain crossing are typical in lowerpower architectures. After all, clock trees are a large source of dynamic power. Typically, simulation cannot be relied upon for the detection of functional errors due to the tricky timing required to trigger frequency and phase jitter. Clock frequency scaling introduces the possibility of issues in the clock-domain crossing, whereas power gating introduces undriven signals and loss of state. 28 June / July 2010 Chip Design

2 Failures can happen when clock gating is implemented incorrectly. For example, designers may have implemented a power-saving scheme by which the clock is stopped in the middle of a pipeline computation due to invalid data (see Figure 1). If the data isn t flushed out before the computation resumes, the wrong data in the pipeline can result in functional failures. Understanding and modeling clock and phase jitter will help in verifying such failures. In this example, the clock could be stopped when the data is in stage 2. Clock restoration without considering the holdtime of stage 2 could result in data corruption. Frequency Jitter Because synchronous clocks aren t frequency locked, frequency will fluctuate between the clocks. Frequency or cycle-to-cycle jitter is the difference in length of any two adjacent clock periods (see Figure 3). It can be important for certain types of clock-generation circuits that are used in microprocessors and random-access-memory (RAM) interfaces. clk1 ESL clk2 1:2 2:3 Figure 3: Frequency jitter is the difference in length between any two adjacent clock periods Figure 1: This low-power design leverages complex clocking. Asynchronous Design Challenges One of the main problems with asynchronous clocks is that their relationships are usually fixed. In the real world, however, the relationship is uncertain. This uncertainty requires correct modeling. If two asynchronous clocks are typically the exact same frequency in simulation and formal, for example, their edges will always be lined up. They may be skewed by an edge, but that doesn t really help to address the problem. In the real world, the relationship of the edges between the two domains is uncertain. So the added challenge in verification is to generate all of the relationships and model the effects of those relationships. Phase Jitter Phase or period jitter is the difference between any one clock period and the ideal clock period (see Figure 2). It tends to be important in synchronous circuits, where the error-free operation of the circuitry is limited by the shortest possible clock period. In addition, the circuitry s performance is limited by the average clock period. Hence, synchronous circuits benefit from minimizing period jitter so that the shortest clock period approaches the average clock period. clk1 clk2 Figure 2: Phase jitter is the difference between any one clock period and the ideal clock period Data Loss and Data Incoherency To prevent data loss from one clock domain to the other in synchronous clock circuits, data should be held constant in the source domain long enough to be properly captured in the destination domain. To hold data constant, setup and hold requirements should be met. For asynchronous clock circuits, handshake or FIFO techniques can be used to prevent data loss. When crossing from one clock domain to the other if the signals change values and the source and destination clock edges arrive close together signals seem to be captured in the destination domain at different clock cycles. This occurs because of metastability and can result in an invalid combination of signal values at the destination side. The challenge with an asynchronous clock-domain crossing is that the phase difference between the asynchronous clocks can be quite unpredictable, resulting in metastability. Current Solutions and Drawbacks Functional simulation techniques have proven to be inadequate in accurately verifying complex clock-domain crossing issues, such as FIFO and handshake-based synchronization mechanisms. It s extremely difficult to stimulate all of the possible input scenarios and the inherent uncertainty of how registers operate when data changes during the setup and hold times. Simulation isn t fully exhaustive. With simulation, for example, one can model glitches. But the designer cannot stimulate all of the possible interactions or scenarios between the normal logic and the glitches that he or she is Chip Design June / July

3 trying to inject. Clock-domain crossing checkers or linters only partially address this problem. Often, they contain too much noise (reporting thousands of warnings) and may overlook real warnings, resulting in improperly waived CDC violations. Traditional formal property check tools normally model the verification environment as if all events are synchronous. As a result, potential bugs stemming from events like glitches or metastability on clock-domain crossings can be missed. It s very difficult to model the effects of non-determinism on asynchronous CDCs. In addition, error conditions resulting from the incorrect encoding of buses crossing a CDC can be missed. For example, when the incoming bus values aren t Gray encoded (that is, more than one bit changes per clock cycle), bus values on the target clock domain might appear to be incorrect. This scenario occurs because of the nondeterministic sampling of the individual bits of the bus. Data integrity and data loss verification: Data-transport verification problems exist in many design applications today. Their shortcomings include the following: Verification only occurs on the supplied vectors, which creates the potential for data corruption or dropped data packets. Simulation data-transport verification typically runs at the system level with poor low-level input controllability. The higher the level of the testbench, the more complicated it is to generate specific data patterns at block-level inputs. This challenge becomes even bigger when crossing clock domains and dealing with metastability problems. The Scoreboard PA addresses this problem with built-in checkers to enable the verification of input/output datatransport behavior (see Figure 4). The push-button nature of CDC checkers has proven to be inadequate in verifying complex CDC challenges. It also has escalated the need to have formal verification exhaustively verify the non-deterministic behavior in asynchronous CDCs. DATA_IN source_clk clock domain crossing destination_clk DATA_OUT An Advanced Formal-Verification Approach Modeling non-deterministic behavior in asynchronous designs: Advanced formal-verification tools can prove the functional correctness of asynchronous circuits. Proof accelerators (PAs) are production-proven models that exist for many common components, such as FIFOs, memories, and data-transport components. These models greatly reduce the verification property coding effort. One PA example is the Asynchronous CDC PA, which makes it possible to achieve exhaustive verification of properties for all possible outcomes of non-determinism on CDCs. In addition, it s possible to model frequency and phase jitter in the formal model, which represents the design being verified. Modeling effects of frequency jitter: The frequency-jitter PA can be used to model the effects of frequency jitter when proving assertions in a design under verification (DUV), which includes multiple clock domains. Using this PA makes it possible for the ratio between fast and slow clocks to vary within a defined range instead of always being locked at the same value. glitch insertion SCOREBOARD Figure 4: With built-in checkers, the Scoreboard Proof Accelerator can enable the verification of input/output data transport behavior Proofpoints: Real-World Asynchronous Design Experiences Verification of a reset circuit to detect lock-up condition: In one case, a design would lock up due to improper CDC design. There was a reset circuit that would detect a lock-up condition and reset the block. Formal verification was used to ensure that the reset mechanism would work correctly by verifying that the reset triggers in a stuck condition. Using formal, a bug was found. One of the signals that were used to activate the reset condition didn t behave correctly under a certain corrupt state. As a result, the design couldn t recover to the reset state. Formal helped the designers find this critical bug in time. Otherwise, it could have proved disastrous and potentially caused a re-spin. 30 June / July 2010 Chip Design

4 Reset recovery mechanism verification: A property of interest was defined to check whether the reset mechanism would work. In this case, the reset mechanism was different than the one mentioned in the previous example. The user wanted to ensure that the reset sequence would work even if the circuit was in an unexpected state caused by glitches due to clock-domain crossing signals. The Scoreboard PA was used to verify end-to-end dataintegrity properties, which ensured that no address/datapropagation error would go undetected (see Figure 5). The address and data bit will be the same throughout both paths. The phase and frequency jitter PA was used to verify latency of the round-trip delay for all possible configurations, which is a critical concern for the PHY block. ESL With the help of formal, it was found that in a certain state, the clock would turn off. The reset procedure would then no longer work. This resulted in avoiding a potential deadlock situation, which could have proved fatal if it had been detected late in the design cycle. Even simulation wasn t able to detect this complex scenario. Formal verification was used to ensure that the reset state returns the state machine to idle state from any arbitrary state. As an added check, CDC check was done and the CDC waivers were verified using formal verification. It was then discovered that some waivers were incorrect. If the waivers hadn t been verified with formal verification, real clock-domain crossing issues would ve been overlooked. PHY verification of clock ratio and delay-mode configurations: An engineer had been working to set up the simulation environment. For two weeks, the engineer had been trying to verify different modes on a PHY design and was still not done. It was then decided to try formal on the same block. The formal environment was set up and running on day 2. Verification started on day 3. The PHY design contained many clock ratio configurations. The design was even more complicated, as data returned at the output port during read cycles could have different delays due to clock frequency jitter and routing delays. Consequently, there were more operational modes than it was possible to simulate. A corner-case problem was missed because one particular delay mode wasn t verified with one of the clock modes. Clock ratio configurations Variable delay Jasper Clock Generator DUT Jasper Scoreboard Concurrent verification of multiple clock ratios End-to-end data transport Concurrently verify all delay parameters Figure 6: Shown is the verification of all possible clock and delay modes Error Injection Jasper Asynchronous PA DUT Jasper Scoreboard Figure 5: Pictured is the Scoreboard and phase/frequency jitter proof accelerator (Source: Jasper Design Automation) Phase and Frequency Jitter End-to-end data transport for different clock domains, with considerations of error detection and correction (Source: Jasper Design Automation) The PA covered all frequency jitters while allowing multiple clock modes to be verified at the same time (see Figure 6). This resulted in avoiding violation of the protocol. The clocks and path delays were verified quickly. All of the verification tasks were completed in less than two weeks. Low-power verification of PMU and clock-gating logic Low-power designs combine all of the power-management functions within a single functional block, which is called the power-management unit (PMU). The PMU houses all of the control signals and the associated logic for sequencing and controlling the power domains. Different power domains typically run with different clocks as well. Chip Design June / July

5 The PMU must be verified for the proper generation of control signals and the various power sequences that result in reliable operating modes. Today, most designverification solutions check these signals when they become active within the design blocks. Because of the number of verification points in the design, this method led to long design-verification cycles. A better methodology is to model the uncertainty in the delay, which was accomplished by successfully modeling the frequency and phase jitter using the asynchronous CDC and frequency-jitter Proof Accelerators. Commonly used low-power techniques in any design are clock gating, power shut-down (power gating), and voltage scaling. Proper clock gating is necessary for data integrity. If the clock isn t shut down properly for a piece of logic, improper state signals and signal glitches can propagate and lead to data corruption. The asynchronous CDC PA was used to ensure that functionality was preserved in the clock gating logic that was responsible for the shutting down and powering up of the different power domains. Asynchronous designs and the challenges associated with them are a reality of today s complex SoCs especially lowpower designs. Advanced formal-verification solutions have emerged as the ideal choice for verifying such designs, as they don t require any input stimulus or testbench. In addition, such solutions can exhaustively verify all possible design scenarios. In summary, formal verification reduces the designer s dependence on functional simulation. It also maximizes user productivity and provides increased confidence by exhaustively verifying designs to reduce overall project risk. Carlo Del Giglio is a senior field applications engineer at Jasper Design Automation. He has more than five years of experience in formal verification. Prior to joining Jasper, Del Giglio was a field applications engineer at OneSpin Solutions. He holds a master s degree in electrical engineering from the University of Ancona, Italy. Alok Sanghavi is technical marketing manager for Jasper Design Automation. Prior to joining Jasper, he was in field applications engineering with Springsoft and Sonics Inc. Sanghavi was a senior ASIC engineer with AMD and hardware engineer with Interdigital Communications after teaching at New York s Polytechnic University. He holds a bachelor s degree in engineering from Sardar Patel University in India and a master of science degree from Polytechnic University, Brooklyn, New York. 32 June / July 2010 Chip Design

Data path verification on cross-domain with formal scoreboard

Data path verification on cross-domain with formal scoreboard Data path verification on cross-domain with formal scoreboard Liu Jun, Intel Mobile Communications, Munich, Germany (jun.b.liut@intel.com) Abstract In today s complex System on Chip (SOC) designs, multiple

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information

Challenges in Verification of Clock Domain Crossings

Challenges in Verification of Clock Domain Crossings Challenges in Verification of Clock Domain Crossings Vishnu C. Vimjam and Al Joseph Real Intent Inc., Sunnyvale, CA, USA Notice of Copyright This material is protected under the copyright laws of the U.S.

More information

Certitude Functional Qualification with Formal Verification. Jean-Marc Forey November 2012

Certitude Functional Qualification with Formal Verification. Jean-Marc Forey November 2012 Certitude Functional Qualification with Formal Verification Jean-Marc Forey November 2012 Springsoft Proprietary Topics Case study presentation Why Verification Verification efficiency Formal verification

More information

Pragmatic Simulation-Based Verification of Clock Domain Crossing Signals and Jitter using SystemVerilog Assertions

Pragmatic Simulation-Based Verification of Clock Domain Crossing Signals and Jitter using SystemVerilog Assertions Pragmatic Simulation-Based Verification of Clock Domain Crossing Signals and Jitter using SystemVerilog Assertions Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Clock

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Reset and Initialization, the Good, the Bad and the Ugly

Reset and Initialization, the Good, the Bad and the Ugly Reset and Initialization, the, the and the Ugly Ping Yeung Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division MediaTek Inc, San Jose, U.S.A. Abstract-

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Formal Verification: Not Just for Control Paths

Formal Verification: Not Just for Control Paths Formal Verification: Not Just for Control Paths by Rusty Stuber, Mentor, A Siemens Business Formal property verification is sometimes considered a niche methodology ideal for control path applications.

More information

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc. Functional debug is a dreadful yet necessary part of today s verification

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

7.3.3 Same Inputs in Antecedent and Consequent

7.3.3 Same Inputs in Antecedent and Consequent Formal Verification Using Assertions 249 There are some special scenarios in which the user may want to intentionally toggle the reset signal during a session. This may be needed to check conditions such

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Debugging Inconclusive Assertions and a Case Study

Debugging Inconclusive Assertions and a Case Study Debugging Inconclusive Assertions and a Case Study by Jin Hou Mentor, A Siemens Business INTRODUCTION Formal assertion-based verification uses formal technologies to analyze if a design satisfies a given

More information

Chronos Latency - Pole Position Performance

Chronos Latency - Pole Position Performance WHITE PAPER Chronos Latency - Pole Position Performance By G. Rinaldi and M. T. Moreira, Chronos Tech 1 Introduction Modern SoC performance is often limited by the capability to exchange information at

More information

Finding Firmware Defects Class T-18 Sean M. Beatty

Finding Firmware Defects Class T-18 Sean M. Beatty Sean Beatty Sean Beatty is a Principal with High Impact Services in Indianapolis. He holds a BSEE from the University of Wisconsin - Milwaukee. Sean has worked in the embedded systems field since 1986,

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

TKT-1212 Digitaalijärjestelmien toteutus. Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008

TKT-1212 Digitaalijärjestelmien toteutus. Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008 TKT-1212 Digitaalijärjestelmien toteutus Lecture 7: VHDL Testbenches Ari Kulmala, Erno Salminen 2008 Contents Purpose of test benches Structure of simple test bench Side note about delay modeling in VHDL

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P Errata and Clarifications to the PCI-X Addendum, Revision 1.0a Update 3/12/01 Rev P REVISION REVISION HISTORY DATE P E1a-E6a, C1a-C12a 3/12/01 2 Table of Contents Table of Contents...3 Errata to PCI-X

More information

Verification of Power Management Protocols through Abstract Functional Modeling

Verification of Power Management Protocols through Abstract Functional Modeling Verification of Power Management Protocols through Abstract Functional Modeling G. Kamhi, T. Levy, Niranjan M, M. Mhameed, H. Rawlani, R. B. Rajput, E. Singerman, V. Vedula, Y. Zbar Motivation Microprocessor

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

CS/ECE 5780/6780: Embedded System Design

CS/ECE 5780/6780: Embedded System Design CS/ECE 5780/6780: Embedded System Design John Regehr Lecture 18: Introduction to Verification What is verification? Verification: A process that determines if the design conforms to the specification.

More information

Accelerating CDC Verification Closure on Gate-Level Designs

Accelerating CDC Verification Closure on Gate-Level Designs Accelerating CDC Verification Closure on Gate-Level Designs Anwesha Choudhury, Ashish Hari anwesha_choudhary@mentor.com, ashish_hari@mentor.com Design Verification Technologies Mentor Graphics Abstract:

More information

Formal Technology in the Post Silicon lab

Formal Technology in the Post Silicon lab Formal Technology in the Post Silicon lab Real-Life Application Examples Haifa Verification Conference Jamil R. Mazzawi Lawrence Loh Jasper Design Automation Focus of This Presentation Finding bugs in

More information

CSE Verification Plan

CSE Verification Plan CSE 45493-3 Verification Plan 1 Verification Plan This is the specification for the verification effort. It indicates what we are verifying and how we are going to do it! 2 Role of the Verification Plan

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

Definitions. Key Objectives

Definitions. Key Objectives CHAPTER 2 Definitions Key Objectives & Types of models & & Black box versus white box Definition of a test Functional verification requires that several elements are in place. It relies on the ability

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Universal Asynchronous Receiver/Transmitter Core

Universal Asynchronous Receiver/Transmitter Core Datasheet iniuart Universal Asynchronous Receiver/Transmitter Core Revision 2.0 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com

More information

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation by Tao Jia, HDL Verifier Development Lead, and Jack Erickson, HDL Product Marketing

More information

TDD For Embedded Systems... All The Way Down To The Hardware. Neil Johnson

TDD For Embedded Systems... All The Way Down To The Hardware. Neil Johnson TDD For Embedded Systems... All The Way Down To The Hardware Neil Johnson XtremeEDA njohnson@xtreme-eda.com @nosnhojn 1 What Do I Mean By Hardware ASIC Application Specific Integrated Circuit Static structure

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

On-Chip Design Verification with Xilinx FPGAs

On-Chip Design Verification with Xilinx FPGAs On-Chip Design Verification with Xilinx FPGAs Application Note 1456 Xilinx Virtex-II Pro devices have redefined FPGAs. The Virtex-II Pro brings with it not only a denser and faster FPGA, but an IBM PPC

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Section III. Transport and Communication

Section III. Transport and Communication Section III. Transport and Communication This section describes communication and transport peripherals provided for SOPC Builder systems. This section includes the following chapters: Chapter 16, SPI

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

DISTRIBUTED EMBEDDED ARCHITECTURES

DISTRIBUTED EMBEDDED ARCHITECTURES DISTRIBUTED EMBEDDED ARCHITECTURES A distributed embedded system can be organized in many different ways, but its basic units are the Processing Elements (PE) and the network as illustrated in Figure.

More information

Comprehensive CDC Verification with Advanced Hierarchical Data Models

Comprehensive CDC Verification with Advanced Hierarchical Data Models Comprehensive CDC Verification with Advanced Hierarchical Data Models by Anwesha Choudhury, Ashish Hari, Aditya Vij, and Ping Yeung Mentor, A Siemens Business The size and complexity of designs, and the

More information

Test Scenarios and Coverage

Test Scenarios and Coverage Test Scenarios and Coverage Testing & Verification Dept. of Computer Science & Engg,, IIT Kharagpur Pallab Dasgupta Professor, Dept. of Computer Science & Engg., Professor-in in-charge, AVLSI Design Lab,

More information

7.3 Case Study - FV of a traffic light controller

7.3 Case Study - FV of a traffic light controller Formal Verification Using Assertions 247 7.3 Case Study - FV of a traffic light controller 7.3.1 Model This design represents a simple traffic light controller for a North-South and East-West intersection.

More information

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification?

Administrivia. ECE/CS 5780/6780: Embedded System Design. Acknowledgements. What is verification? Administrivia ECE/CS 5780/6780: Embedded System Design Scott R. Little Lab 8 status report. Set SCIBD = 52; (The Mclk rate is 16 MHz.) Lecture 18: Introduction to Hardware Verification Scott R. Little

More information

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs Sven Beyer, OneSpin Solutions, Munich, Germany, sven.beyer@onespin-solutions.com Dominik Straßer, OneSpin Solutions, Munich,

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Design and Verification of Network Router

Design and Verification of Network Router Design and Verification of Network Router 1 G.V.Ravikrishna, 2 M. KiranKumar 1 M.Tech. Scholar, 2 Assistant Professor Department of ECE, ANURAG Group of Institutions, Andhra Pradesh, India 1 gvravikrishna@gmail.com,

More information

Logic Verification 13-1

Logic Verification 13-1 Logic Verification 13-1 Verification The goal of verification To ensure 100% correct in functionality and timing Spend 50 ~ 70% of time to verify a design Functional verification Simulation Formal proof

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

Using Abstractions for Effective Formal: Lessons from the Trenches

Using Abstractions for Effective Formal: Lessons from the Trenches Using Abstractions for Effective Formal: Lessons from the Trenches Ashish Darbari, Iain Singleton, Neil Dunlop, Alex Netterville Advanced Verification Methodology Group Imagination Technologies www.imgtec.com

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

A Pragmatic Approach to Metastability-Aware Simulation

A Pragmatic Approach to Metastability-Aware Simulation A Pragmatic Approach to Metastability-Aware Simulation Joseph Bulone, Kalray, Montbonnot Saint Martin, France (joseph.bulone@kalray.eu) Roger Sabbagh, Mentor Graphics Corporation, Ottawa, Canada (roger_sabbagh@mentor.com)

More information

Assertive Verification: A Ten-Minute Primer

Assertive Verification: A Ten-Minute Primer Assertive Verification: A Ten-Minute Primer As published on 8/16/02 in EEDesign.com And Written by Saeed Coates, Paradigm Works, Inc. www.paradigm-works.com Table of Contents 1.1 Introduction: The Verification

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines Lecture 3 Behavioral Modeling Sequential Circuits Registers Counters Finite State Machines Behavioral Modeling Behavioral Modeling Behavioral descriptions use the keyword always, followed by optional event

More information

Lecture 1: Introduction to distributed Algorithms

Lecture 1: Introduction to distributed Algorithms Distributed Algorithms M.Tech., CSE, 2016 Lecture 1: Introduction to distributed Algorithms Faculty: K.R. Chowdhary : Professor of CS Disclaimer: These notes have not been subjected to the usual scrutiny

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

Slide Set 9. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng

Slide Set 9. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng Slide Set 9 for ENCM 369 Winter 2018 Section 01 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary March 2018 ENCM 369 Winter 2018 Section 01

More information

CPU offloading using SoC fabric Avnet Silica & Enclustra Seminar Getting started with Xilinx Zynq SoC Fribourg, April 26, 2017

CPU offloading using SoC fabric Avnet Silica & Enclustra Seminar Getting started with Xilinx Zynq SoC Fribourg, April 26, 2017 1 2 3 Introduction The next few slides give a short introduction of what CPU offloading is and how it can help improving system performance. 4 What is Offloading? Offloading means taking load from one

More information

ACCELERATING DO-254 VERIFICATION

ACCELERATING DO-254 VERIFICATION ACCELERATING DO-254 VERIFICATION ACCELERATING DO-254 VERIFICATION INTRODUCTION Automated electronic control systems or avionics allow lighter, more efficient aircraft to operate more effectively in the

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Verifying the Correctness of the PA 7300LC Processor

Verifying the Correctness of the PA 7300LC Processor Verifying the Correctness of the PA 7300LC Processor Functional verification was divided into presilicon and postsilicon phases. Software models were used in the presilicon phase, and fabricated chips

More information

DB2 Data Sharing Then and Now

DB2 Data Sharing Then and Now DB2 Data Sharing Then and Now Robert Catterall Consulting DB2 Specialist IBM US East September 2010 Agenda A quick overview of DB2 data sharing Motivation for deployment then and now DB2 data sharing /

More information

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Simulation-Based FlexRay TM Conformance Testing an OVM success story Simulation-Based FlexRay TM Conformance Testing an OVM success story Mark Litterick, Co-founder & Verification Consultant, Verilab Abstract This article presents a case study on how the Open Verification

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

Practical Importance of the FOUNDATION TM Fieldbus Interoperability Test System

Practical Importance of the FOUNDATION TM Fieldbus Interoperability Test System Stephen Mitschke Applications Engineer Fieldbus Foundation Practical Importance of the FOUNDATION TM Fieldbus Interoperability System Steve Vreeland Senior Software Engineer Fieldbus Inc. Austin, TX 78759

More information

Retention based low power DV challenges in DDR Systems

Retention based low power DV challenges in DDR Systems Retention based low power DV challenges in DDR Systems Subhash Joshi, Qualcomm, Bangalore, India (scjoshi@qti.qulacomm.com) Sangaiyah, Pandithurai, Qualcomm, Bangalore, India (psangaiy@qti.qualcomm.com)

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

HECTOR: Formal System-Level to RTL Equivalence Checking

HECTOR: Formal System-Level to RTL Equivalence Checking ATG SoC HECTOR: Formal System-Level to RTL Equivalence Checking Alfred Koelbl, Sergey Berezin, Reily Jacoby, Jerry Burch, William Nicholls, Carl Pixley Advanced Technology Group Synopsys, Inc. June 2008

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Objective The objective of this lab assignment is to introduce and use a methodology for designing

More information

SystemVerilog Assertions in the Design Process 213

SystemVerilog Assertions in the Design Process 213 SystemVerilog Assertions in the Design Process 213 6.6 RTL Design Assertions, generated during the architectural planning phases, greatly facilitate the writing of the RTL implementation because they help

More information

Distributed Systems COMP 212. Revision 2 Othon Michail

Distributed Systems COMP 212. Revision 2 Othon Michail Distributed Systems COMP 212 Revision 2 Othon Michail Synchronisation 2/55 How would Lamport s algorithm synchronise the clocks in the following scenario? 3/55 How would Lamport s algorithm synchronise

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Focussing Assertion Based Verification Effort for Best Results

Focussing Assertion Based Verification Effort for Best Results Focussing Assertion Based Verification Effort for Best Results Mark Litterick (Verification Consultant) mark.litterick@verilab.com 2 Introduction Project background Overview of ABV including methodology

More information

EC EMBEDDED AND REAL TIME SYSTEMS

EC EMBEDDED AND REAL TIME SYSTEMS EC6703 - EMBEDDED AND REAL TIME SYSTEMS Unit I -I INTRODUCTION TO EMBEDDED COMPUTING Part-A (2 Marks) 1. What is an embedded system? An embedded system employs a combination of hardware & software (a computational

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Tajana Simunic Rosing Source: Eric Crabill, Xilinx 1 More complex behavioral model module life (n0, n1, n2, n3, n4, n5, n6,

More information

FlexRay The Hardware View

FlexRay The Hardware View A White Paper Presented by IPextreme FlexRay The Hardware View Stefan Schmechtig / Jens Kjelsbak February 2006 FlexRay is an upcoming networking standard being established to raise the data rate, reliability,

More information

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2-2 Arithmetic Components and Their Efficient Implementations I understand the control inputs to counters I can design logic to control the inputs of counters to create

More information

Introduction to Computing and Systems Architecture

Introduction to Computing and Systems Architecture Introduction to Computing and Systems Architecture 1. Computability A task is computable if a sequence of instructions can be described which, when followed, will complete such a task. This says little

More information

ORION Gateway Design for Feedback Controls Connectivity

ORION Gateway Design for Feedback Controls Connectivity ORION Gateway Design for Feedback Controls Connectivity Larry Doolittle, Alex Ratti, Carlos Serrano, Andrea Vaccaro Lawrence Berkeley National Laboratory ICALEPCS 09, Kobe, October 2009 Index 1 2 structure

More information

It was a dark and stormy night. Seriously. There was a rain storm in Wisconsin, and the line noise dialing into the Unix machines was bad enough to

It was a dark and stormy night. Seriously. There was a rain storm in Wisconsin, and the line noise dialing into the Unix machines was bad enough to 1 2 It was a dark and stormy night. Seriously. There was a rain storm in Wisconsin, and the line noise dialing into the Unix machines was bad enough to keep putting garbage characters into the command

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2 Bulletin of the Transilvania University of Braşov Vol. 11 (60) No. 1-2018 Series I: Engineering Sciences SPECMAN-E TESTBENCH Al. GROSU 1 M. CARP 2 Abstract: The scope of this document is to present a Verification

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

Smart cards and smart objects communication protocols: Looking to the future. ABSTRACT KEYWORDS

Smart cards and smart objects communication protocols: Looking to the future. ABSTRACT KEYWORDS Smart cards and smart objects communication protocols: Looking to the future. Denis PRACA Hardware research manager, Gemplus research Lab, France Anne-Marie PRADEN Silicon design program manager, Gemplus

More information

Inside Intel Core Microarchitecture

Inside Intel Core Microarchitecture White Paper Inside Intel Core Microarchitecture Setting New Standards for Energy-Efficient Performance Ofri Wechsler Intel Fellow, Mobility Group Director, Mobility Microprocessor Architecture Intel Corporation

More information

L2: Design Representations

L2: Design Representations CS250 VLSI Systems Design L2: Design Representations John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) Engineering Challenge Application Gap usually too large to bridge in one step,

More information

Effective Verification of ARM SoCs

Effective Verification of ARM SoCs Effective Verification of ARM SoCs Ron Larson, Macrocad Development Inc. Dave Von Bank, Posedge Software Inc. Jason Andrews, Axis Systems Inc. Overview System-on-chip (SoC) products are becoming more common,

More information