Increasing Productivity with Altera Quartus II to I/O Designer/DxDesigner Interface

Size: px
Start display at page:

Download "Increasing Productivity with Altera Quartus II to I/O Designer/DxDesigner Interface"

Transcription

1 Increasing Productivity with Altera Quartus II to I/O Designer/DxDesigner Interface Steven Strell Senior Applications Engineer, Altera Corporation (408) Abstract Today s high-speed, high pin count FPGA devices demand skilled printed circuit board design practices. FPGA designers must consider final board design when creating I/O assignments. Making accurate assignments and intelligent transfers between FPGA designers and board designers is a daunting task for FPGA devices with more than 1000 pins. The reprogrammability inherent in FPGA design creates a continually evolving product with increased complexity. Altera Quartus II FPGA design software features built-in I/O assignment capabilities and checks that assist development. Quartus II software and Mentor Graphics' I/O Designer software both support the import and export of I/O assignments through the industry standard FPGA Xchange format. By tightly integrating Quartus II advanced FPGA design environment, I/O Designer s schematic symbol creation capabilities, and DxDesigner s schematic capture toolset, a complete design loop is created that increases engineer productivity and decreases board integration time. 2 FPGA to Board Design Flow Issues FPGA components are becoming much more complex. Devices with over a thousand pins make I/O management a difficult task. With an increasing pin count, FPGA devices run at faster speeds and require multiple clean power rails to achieve normal operation. With more complex FPGA devices being used, greater care must be taken in board design. Boards integrating FPGA devices require more simulation time, stricter routing constraints, and proper line termination to operate correctly. In a typical design flow using FPGA devices, FPGA and board designs often take place concurrently between two different engineers or engineering teams (See Figure 1). FPGA design engineers spend most of their time using FPGA design software, such as Quartus II software, designing, simulating, and testing logic, as well as configuring and programming test devices, which are typically on a development board. Board design engineers are responsible for creating schematic symbols for components and integrating these symbols into circuit schematics. From the circuit schematics, a board layout is created and routed. Board design engineers are also responsible for creating a bill of materials for populating the board with components. Once the board is fabricated and assembled, board designers bring up the board and test for proper operation. These parallel design flows work perfectly for both types of engineers, but it is possible there is little or no interaction between the two. Working independently, I/O assignment errors can be transferred back and forth without either engineering group knowing. This typically happens if FPGA pin assignments need to change based on a logic design change or if board routing requires adjustment to accommodate new components or improve layout. If a design change occurs on either side, it must be transferred accurately to the other side. If this fails, FPGA pins could be attached to wrong signals on the board, affecting the functionality of the system or causing damage to the device if the error involves power rails. Transferring I/O assignments between a FPGA and a board design is complicated and leads to further error. If an I/O change is made in a FPGA design, the change must be reflected in the board design by a change to the circuit schematic symbol for the device. 1

2 date. Also lacking are some desired features previously mentioned, including a process of automatically updating information and maintaining revision control. The best solution is direct linking between the design processes. If I/O assignments created by a FPGA engineer could be immediately reflected in the circuit schematic symbols created by a board design engineer and vice versa, the link would be established. This type of link is possible by using I/O assignment transfers through files generated by the tools each engineer is using. File types presented are the pin-out file, or.pin, and the FPGA Xchange file, or.fx. By creating a link using these files, it is simple to generate and update files whenever needed without the possibility of introducing errors or typos through a manual process, as with a spreadsheet. Figure 1. The Bridge Between the FPGA and Board Design Flows 3 Solutions There are several ways to solve these problems. Close collaboration between engineers, resulting in a better understanding of each other's design flow is the best solution. When both understand the entire design process completely, communicating changes is easier. Other solutions utilize electronic means of assignment protection and transfer. For example, source control disallows either designer to make changes (or mistakes) without the other knowing. A design repository with revision control requiring checking in and checking out of a single copy of the project serves as protection. Some tools lock I/O assignments preventing changes and requiring communication between the two parties to understand possible changes. A better solution is automatic updating and change notification between engineers not requiring manual design changes by either. A simple and very common solution is using a spreadsheet for transferring and tracking I/O assignments. Spreadsheet rows correspond to individual pins on the FPGA device. Columns are used to supply information about each pin such as voltage, signal direction, or I/O bank. Signals assigned to each pin are listed in columns. Using a spreadsheet provides an easy way to read and interpret I/O assignment information. However, tracking and updating information on a spreadsheet can cause problems. A spreadsheet is manually edited, making it prone to error. Unless a spreadsheet has an up-to-date timestamp, it is possible for information to be out of 4 I/O Assignment Transfer File Types The two file types store information about device pins similarly to a spreadsheet, but each file type has unique properties. The.pin file is an Altera standard file type generated by the Fitter in Quartus II. It is a list of all the pins in the selected device whether or not a signal has been assigned to a particular pin. Each row of the file represents a device pin, while each column specifies information about that pin. The.pin file transfers standard information about each pin including any assigned signal name, signal direction, I/O standard, voltage, and assigned I/O bank. The.pin file is an output file only. Quartus II generates this file but cannot import the assignment information. A.fx file is also used in the automated I/O assignment transfer process. The.fx file is an industry standard file type defined by Mentor Graphics specifically for I/O assignment transfer. Quartus II EDA Netlist Writer generates a.fx file during design compilation. When Quartus II generates the file, only pins that have signals assigned to them manually through Quartus II Assignment Editor and Pin Planner or automatically with the Fitter are listed. Unassigned device pins are not listed. However, when board design tools from Mentor Graphics generate a.fx file, a complete list of assigned and unassigned pins for the device is created. The.fx file contains the same information found in the.pin file, as well as additional data concerning signal drive strength, differential pairs, and swappable pin groups. While a.pin file cannot be imported into Quartus II, a.fx file may be freely imported and exported whenever needed to update I/O assignments. 2

3 Conference website: With both files generated from Quartus II, board design tools import a complete picture of all the I/O assignment information created in Quartus II for the FPGA design. This is referred to as the forward design flow. When Quartus II imports an FPGA Xchange file generated by board design tools to update I/O assignments, this is referred to as reverse design flow. The names are arbitrary; a board designer can create I/O assignments and transfer those assignments to a FPGA designer for the initial creation of the FPGA design, making this the forward flow. For clarity, the forward and reverse design flows follow the paths shown in Figures 3 and 4. 5 Using Mentor Graphics Tools in the Design Flow Using the combination of Quartus II and board design tools from Mentor Graphics enables the forward and reverse design flows. I/O Designer utilizes assignment file transfer. It is a tool specifically created for design transfer between FPGA and board designs. Using I/O Designer provides complete forward and reverse flow, thus assignment information is readily transferred back and forth from Quartus II to I/O Designer. In addition to transfer capabilities, I/O Designer makes I/O assignment changes using an interface similar to Quartus II Pin Planner (see Figure 2). This functionality yields a graphical representation of the device where signals are assigned to pins by simply dragging and dropping from a list. Additionally, I/O Designer creates circuit schematic symbols that are used by schematic capture tools from Mentor Graphics. Extra features that assist with the design flow are pin assignment locking that prevents accidental assignment changes, and support for industry standard design repositories such as CVS. This permits only one user at a time to check into or out of a design while logging all previously made changes. Figure 2. Pin Planner and Device Editor Comparison To complete the design flow, symbols created in I/O Designer are instantiated in a schematic capture tool. I/O Designer directly supports all schematic capture tools from Mentor Graphics. For medium to highly complex designs, DxDesigner is a highly efficient and productive tool to use. DxDesigner is Mentor Graphics high-end circuit schematic capture tool and complements I/O Designer's functionality. Schematic symbols created in I/O Designer are stored in the symbol library of a designated DxDesigner project. These symbols are immediately available for instantiation in a DxDesigner schematic. Board designers using DxDesigner with I/O Designer can back-annotate pin swap changes made in either a board layout tool or changes made to a symbol in the DxDesigner symbol editor. With this capability, pin assignment changes made to improve the board layout are transferred back through I/O Designer for import into the original Quartus II project. The original design is then recompiled and refit utilizing the new assignments. DxDesigner software also has symbol creation capabilities to generate symbols based on just a.pin file from the Quartus II project. However, any assignment changes made in DxDesigner to symbols made from.pin files or back-annotated from a board layout tool cannot be transferred back to Quartus II. I/O Designer is required for this function. 6 Tasks in the Design Flow With the design flow established, the main tasks in the forward and reverse design flows are defined. 6.1 Tasks in the Forward Design Flow Figure 3 shows the details of the forward design flow. First, Quartus II is configured to generate assignment transfer files. A.pin file is always created when I/O Assignment Analysis or a full compilation runs in Quartus II; as a result, the only setup required is generation of the.fx file. This function is set in the Quartus II Assignment Settings. FPGA Xchange file generation is selected in the Board Level EDA Tool settings. Once set, the.fx file is generated when EDA Netlist Writer or a full compilation is run. The files are then generated and exported. Once files are created, they are used to set up a new or update an existing I/O Designer database file that stores all the assignment and symbol information about the device. Database files also keep track of any changes made to the I/O assignments or schematic symbols by using I/O Designer Update Wizard. In the database files, pointers to source files and generated schematic symbols in the Update Wizard automatically detect changes, alerting the user to perform an update to the database. 3

4 6.3 Tasks in the Reverse Design Flow If assignment changes are made in I/O Designer or back-annotated from the board layout, the FPGA design must be updated with the new assignments. This is the reverse design flow shown in Figure 4. Sending assignment changes back to a Quartus II FPGA design updates a.fx file in I/O Designer. By updating the FPGA Xchange file, changes are sent back to Quartus II by simply importing the updated.fx file into a Quartus II FPGA project. Running I/O Assignment Analysis in Quartus II checks any imported assignments. This verifies the new assignments function correctly in the design and validates the target device, thus making forward and reverse design flows complete. Figure 3. Forward Design Flow Assignment changes are made directly in I/O Designer using the Pin Planner-like interface (see Figure 4) or back-annotated from the board layout tool through DxDesigner into I/O Designer. If no further changes are required to I/O assignments, schematic symbols are built in DxDesigner using the I/O Designer Symbol Wizard. 6.2 Schematic Symbol Creation for DxDesigner The I/O Designer Symbol Wizard has many options for customizing the look of the final schematic symbols as well as functionality to fracture or split symbols into multiple, smaller parts. This is useful for FPGA devices as it breaks a large symbol with possibly over a thousand pins into a set of organized smaller symbols where each symbol is used for a specific function. For example, one symbol fracture contains all JTAG and configuration signals required by the device, while another may be used for just power and ground pins. This assists with fitting symbols on schematic pages as well as making circuit schematics easily read and specific signals easier to find. Symbols and symbol fractures created using Symbol Wizard are stored in the symbol library of the designated DxDesigner project. Symbols are then available for immediate instantiation into a DxDesigner schematic project. Completed schematics are forwarded to a board layout tool for routing and eventual board fabrication. 7 Conclusion Figure 4. Reverse Design Flow With the complexity of FPGA and board designs increasing, the time allocated for typical production schedules, including design, fabrication, and testing, is rapidly decreasing. Combining Quartus II with I/O Designer and DxDesigner provides a complete forward and reverse design flow for transferring I/O assignment information between a FPGA design and a board design. By partially automating the process, errors are avoided, productivity increased, and design cycles decreased. Creating and using a FPGA Xchange file and a pin-out file bridges parallel design flows, making the process fully operational. 4

5 8 References [1] Rick Stroot: Using I/O Designer in an Altera Quartus flow with FPGA Xchange, Mentor Graphics I/O Designer Application Note, July 2005 [2] Quartus II Development Software Handbook v.5.1, October

6 101 Innovation Drive San Jose, CA (408) Copyright 2006 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.

DSP Development Kit, Stratix II Edition

DSP Development Kit, Stratix II Edition DSP Development Kit, Stratix II Edition August 2005, Development Kit version 1.1.0 Errata Sheet This document addresses known errata and documentation changes the DSP Development Kit, Stratix II Edition

More information

AN 549: Managing Designs with Multiple FPGAs

AN 549: Managing Designs with Multiple FPGAs AN 549: Managing Designs with Multiple FPGAs October 2008 AN-549-1.0 Introduction Managing designs that incorporate multiple FPGAs raises new challenges that are unique compared to designs using only one

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Using MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX 3000A Devices as a Microcontroller I/O Expander Using MAX 3000A Devices as a Microcontroller I/O Expander August 2003, Ver 1.0 Application Note 265 Introduction Advantages of Using MAX 3000A Devices Many microcontrollers and microprocessors limit I/O

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems

Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems Interfacing Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems April 2008 AN-447-1.1 Introduction Altera Cyclone III devices are compatible and support 3.3/3.0/2.5-V LVTTL/LVCMOS I/O standards. This application

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board DDR2 SODIMM Interface 2011 Help Document DDR2 SODIMM Interface Measurements were made on the DDR2 SODIMM interface using the Board Test System user interface. The Address,

More information

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander March 2004, ver 2.0 Application Note 265 Introduction Advantages of Using MAX II & MAX 3000A Devices Many microcontroller and microprocessors

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

ByteBlaster II Parallel Port Download Cable

ByteBlaster II Parallel Port Download Cable ByteBlaster II Parallel Port Download Cable December 2002, Version 1.0 Data Sheet Features Allows PC users to perform the following functions: Program MAX 9000, MAX 7000S, MAX 7000AE, MAX 7000B, MAX 3000A,

More information

Quartus II Introduction Using Schematic Design

Quartus II Introduction Using Schematic Design Quartus II Introduction Using Schematic Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

Design Verification Using the SignalTap II Embedded

Design Verification Using the SignalTap II Embedded Design Verification Using the SignalTap II Embedded Logic Analyzer January 2003, ver. 1.0 Application Note 280 Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models August 2001, ver. 1.0 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace Introduction White Paper Between Altera Classic Timing Analyzer and Xilinx Trace Most hardware designers who are qualifying FPGA performance normally run bake-off -style software benchmark comparisons

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

For Quartus II Software. This Quick Start Guide will show you how to set up a Quartus

For Quartus II Software. This Quick Start Guide will show you how to set up a Quartus Quick Start Guide For Quartus II Software This Quick Start Guide will show you how to set up a Quartus II project, enter timing requirements, and compile the design into an Altera device. 1 Three-Step

More information

Active Serial Memory Interface

Active Serial Memory Interface Active Serial Memory Interface October 2002, Version 1.0 Data Sheet Introduction Altera Cyclone TM devices can be configured in active serial configuration mode. This mode reads a configuration bitstream

More information

White Paper Configuring the MicroBlaster Passive Serial Software Driver

White Paper Configuring the MicroBlaster Passive Serial Software Driver White Paper Configuring the MicroBlaster Passive Serial Software Driver Introduction The MicroBlaster TM software driver is designed to configure Altera programmable logic devices (PLDs) through the ByteBlasterMV

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices July 2008, Version 1.3 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Excalibur Solutions DPRAM Reference Design

Excalibur Solutions DPRAM Reference Design Excalibur Solutions DPRAM Reference Design August 22, ver. 2.3 Application Note 173 Introduction The Excalibur devices are excellent system development platforms, offering flexibility, performance, and

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Legacy SDRAM Controller with Avalon Interface

Legacy SDRAM Controller with Avalon Interface Legacy SDRAM Controller with Avalon Interface January 2003, Version 1.0 Data Sheet Introduction PTF Assignments SDRAM is commonly used in cost-sensitive applications requiring large amounts of memory.

More information

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1 SignalTap II with Verilog Designs For Quartus II 13.1 1 Introduction This tutorial explains how to use the SignalTap II feature within Altera s Quartus II software. The SignalTap II Embedded Logic Analyzer

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

Debugging Nios II Systems with the SignalTap II Logic Analyzer

Debugging Nios II Systems with the SignalTap II Logic Analyzer Debugging Nios II Systems with the SignalTap II Logic Analyzer May 2007, ver. 1.0 Application Note 446 Introduction As FPGA system designs become more sophisticated and system focused, with increasing

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide PCB Design Tools Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Simultaneous Switching

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

UTOPIA Level 2 Slave MegaCore Function

UTOPIA Level 2 Slave MegaCore Function UTOPIA Level 2 Slave MegaCore Function October 2005, Version 2.5.0 Release Notes These release notes for the UTOPIA Level 2 Slave MegaCore function contain the following information: System Requirements

More information

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software.

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software. White Paper DSSS Modem Lab Background The direct sequence spread spectrum (DSSS) digital modem reference design is a hardware design that has been optimized for the Altera APEX DSP development board (starter

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

ByteBlaster II Download Cable User Guide

ByteBlaster II Download Cable User Guide ByteBlaster II Download Cable User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com UG-BBII81204-1.1 P25-10324-00 Document Version: 1.1 Document Date: December 2004 Copyright

More information

Matrices in MAX II & MAX 3000A Devices

Matrices in MAX II & MAX 3000A Devices Crosspoint Switch Matrices in MAX II & MAX 3000A Devices March 200, ver. 2.0 Application Note 29 Introduction With a high level of flexibility, performance, and programmability, you can use crosspoint

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics Excalibur Solutions Using the Expansion Bus Interface October 2002, ver. 1.0 Application Note 143 Introduction In the Excalibur family of devices, an ARM922T processor, memory and peripherals are embedded

More information

Logic Optimization Techniques for Multiplexers

Logic Optimization Techniques for Multiplexers Logic Optimiation Techniques for Multiplexers Jennifer Stephenson, Applications Engineering Paul Metgen, Software Engineering Altera Corporation 1 Abstract To drive down the cost of today s highly complex

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

Quartus II Introduction Using Verilog Design

Quartus II Introduction Using Verilog Design Quartus II Introduction Using Verilog Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

USB BitJetLite Download Cable

USB BitJetLite Download Cable USB BitJetLite Download Cable User Guide, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Product Version: 1.0 Document Version: 1.0 Document Date: Copyright 2010,.All

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information

FPGA Design Security Solution Using MAX II Devices

FPGA Design Security Solution Using MAX II Devices White Paper FPGA Solution Using MAX II Devices Introduction SRAM-based FPGAs are volatile devices. They require external memory to store the configuration data that is sent to them at power up. It is possible

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1 Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor For Quartus Prime 16.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

FPGA Co-Processing Architectures for Video Compression

FPGA Co-Processing Architectures for Video Compression Co-Processing Architectures for Compression Overview Alex Soohoo Altera Corporation 101 Innovation Drive San Jose, CA 95054, USA (408) 544-8063 asoohoo@altera.com The push to roll out high definition video

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models February 2003, ver. 1.2 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Quartus II Incremental Compilation for Hierarchical

Quartus II Incremental Compilation for Hierarchical Quartus II Incremental Compilation for Hierarchical and Team-Based Design 3 QII51015 Subscribe About Quartus II Incremental Compilation This manual provides information and design scenarios to help you

More information

Using the Nios Development Board Configuration Controller Reference Designs

Using the Nios Development Board Configuration Controller Reference Designs Using the Nios Development Board Controller Reference Designs July 2006 - ver 1.1 Application Note 346 Introduction Many modern embedded systems utilize flash memory to store processor configuration information

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

Disassemble the machine code present in any memory region. Single step through each assembly language instruction in the Nios II application.

Disassemble the machine code present in any memory region. Single step through each assembly language instruction in the Nios II application. Nios II Debug Client This tutorial presents an introduction to the Nios II Debug Client, which is used to compile, assemble, download and debug programs for Altera s Nios II processor. This tutorial presents

More information

Transient Voltage Protection for Stratix GX Devices

Transient Voltage Protection for Stratix GX Devices White Paper Devices Introduction This document addresses the phenomenon known as transient voltage in a system using Stratix GX devices. Hot socketing is identified as the major source of transient voltage.

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

Introduction to the Altera SOPC Builder Using Verilog Design

Introduction to the Altera SOPC Builder Using Verilog Design Introduction to the Altera SOPC Builder Using Verilog Design This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the Nios II processor

More information

PCI Express Compiler. System Requirements. New Features & Enhancements

PCI Express Compiler. System Requirements. New Features & Enhancements April 2006, Compiler Version 2.1.0 Release Notes These release notes for the PCI Express Compiler version 2.1.0 contain the following information: System Requirements New Features & Enhancements Errata

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software November 2012 QII52019-12.1.0 9. Reviewing Printed Circuit Board Schematics with the Quartus II Sotware QII52019-12.1.0 This chapter provides guidelines or reviewing printed circuit board (PCB) schematics

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board Overview 2011 Signal Integrity Report Introduction Signal Integrity Analysis The ArriaII GX development kit board has several high speed interfaces. Each of these interfaces

More information

CORDIC Reference Design. Introduction. Background

CORDIC Reference Design. Introduction. Background CORDIC Reference Design June 2005, ver. 1.4 Application Note 263 Introduction The co-ordinate rotation digital computer (CORDIC) reference design implements the CORDIC algorithm, which converts cartesian

More information

Design Tools for 100,000 Gate Programmable Logic Devices

Design Tools for 100,000 Gate Programmable Logic Devices esign Tools for 100,000 Gate Programmable Logic evices March 1996, ver. 1 Product Information Bulletin 22 Introduction The capacity of programmable logic devices (PLs) has risen dramatically to meet the

More information

University Program 3 Kit

University Program 3 Kit University Program 3 Kit VLSI Tutorial : LEDs & Push Buttons Version 02.00 System Level Solutions Inc. (USA) 14702 White Cloud Ct. Morgan Hill, CA 95037 2 System Level Solutions Copyright 2003-2005 System

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

White Paper. Floating-Point FFT Processor (IEEE 754 Single Precision) Radix 2 Core. Introduction. Parameters & Ports

White Paper. Floating-Point FFT Processor (IEEE 754 Single Precision) Radix 2 Core. Introduction. Parameters & Ports White Paper Introduction Floating-Point FFT Processor (IEEE 754 Single Precision) Radix 2 Core The floating-point fast fourier transform (FFT) processor calculates FFTs with IEEE 754 single precision (1

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Using Verplex Conformal LEC for Formal Verification of Design Functionality Using Verplex Conformal LEC for Formal Verification of Design Functionality January 2003, ver. 1.0 Application Note 296 Introduction The Altera Quartus II software, version 2.2, easily interfaces with

More information

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Interfacing Intel FPGA Devices with 3.3/3.0/2.5

More information

Remote Drive. Quick Start Guide. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 0.1.

Remote Drive. Quick Start Guide. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 0.1. Remote Drive Quick Start Guide, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 0.1.1 Date : July 17, 2007 Copyright 2007,.All rights reserved. SLS,

More information

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0 Using the SDRAM on Altera s DE1 Board with Verilog Designs For Quartus II 13.0 1 Introduction This tutorial explains how the SDRAM chip on Altera s DE1 Development and Education board can be used with

More information

AN 370: Using the Serial FlashLoader with the Quartus II Software

AN 370: Using the Serial FlashLoader with the Quartus II Software AN 370: Using the Serial FlashLoader with the Quartus II Software April 2009 AN-370-3.1 Introduction Using the interface, the Altera Serial FlashLoader (SFL) is the first in-system programming solution

More information

White Paper Taking Advantage of Advances in FPGA Floating-Point IP Cores

White Paper Taking Advantage of Advances in FPGA Floating-Point IP Cores White Paper Recently available FPGA design tools and IP provide a substantial reduction in computational resources, as well as greatly easing the implementation effort in a floating-point datapath. Moreover,

More information

Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators

Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators White Paper Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators You can use the Visual IP software from Innoveda with Altera-provided models to simulate Altera

More information

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues January 2005, Compiler Version 2.3.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.3.0 of the SONET/SDH Compiler. Errata are design functional defects

More information

MILITARY ANTI-TAMPERING SOLUTIONS USING PROGRAMMABLE LOGIC

MILITARY ANTI-TAMPERING SOLUTIONS USING PROGRAMMABLE LOGIC MILITARY ANTI-TAMPERING SOLUTIONS USING PROGRAMMABLE LOGIC Charlie Jenkins (Altera, San Jose, California, chjenkin@altera.com) Christian Plante (Altera, San Jose, California, cplante@altera.com) ABSTRACT

More information

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example Updated for Intel Quartus Prime Design Suite: 18.1.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. High Bandwidth

More information

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0 Using ModelSim to Simulate Logic Circuits in VHDL Designs For Quartus II 13.0 1 Introduction This tutorial is a basic introduction to ModelSim, a Mentor Graphics simulation tool for logic circuits. We

More information

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement AIRbus Interface December 22, 2000; ver. 1.00 Functional Specification 9 Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width of the data bus) Read and write access Four-way

More information

Simulating the Reed-Solomon Model

Simulating the Reed-Solomon Model July 2000, ver. 1 Simulating the Reed-Solomon Model with the Visual IP Software User Guide Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera, and

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0 Quartus II Introduction Using Verilog Designs For Quartus II 12.0 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow for

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information