Design Verification Using the SignalTap II Embedded

Size: px
Start display at page:

Download "Design Verification Using the SignalTap II Embedded"

Transcription

1 Design Verification Using the SignalTap II Embedded Logic Analyzer January 2003, ver. 1.0 Application Note 280 Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.2, helps reduce verification times by allowing you to conduct real-time board level tests of Altera devices. Traditional methods of verification make it difficult to analyze internal nodes within an FPGA, because they typically need to be routed to available I/O pins for data capture with an external logic analyzer. The SignalTap II analyzer provides access to an FPGA s internal signals, allowing you to monitor internal design nodes. This application note gives an overview of the many new features in the SignalTap II logic analyzer, and explains how to use its various new options. Figure 1 shows a functional diagram of the SignalTap II analyzer. Figure 1. Signal Tap II Embedded Logic Analyzer Diagram. Altera Corporation 1 AN

2 This application note describes how to use the SignalTap II software, including coverage of the following topics. Hardware and software required when using the SignalTap II logic analyzer Configuration options Using the SignalTap II logic analyzer Advanced features of the SignalTap II logic analyzer, including Incremental Routing support SignalTap II Hardware and Software Requirements The following hardware and software components are required to use the SignalTap II logic analyzer: The Quartus II software MasterBlaster, ByteBlasterMV, or ByteBlaster II cable The Quartus II Software The Quartus II software allows you to select the signals to capture, when signal capture starts, and how many data samples to capture. You can also select whether the data is routed to the device s memory blocks for use by the SignalTap II logic analyzer, or to the I/O pins for use by external equipment. Table 1 shows the device support for the SignalTap II logic analyzer. Table 1. SignalTap II Device Support Device Cyclone devices Stratix GX devices Stratix devices Excalibur devices APEX II devices APEX 20KE devices APEX 20KC devices APEX 20K devices Mercury devices ACEX 1K devices FLEX 10KE devices FLEX 6000 devices MAX 7000B devices Support Full Support in the Quartus II software version 2.2 service pack 1 (SP1). (1) Full Support in the Quartus II software version 2.2 service pack 1 (SP1). (1) Full Support in the Quartus II software version 2.1 service pack 1 (SP1). (1) Full support Full support Full support Full support Full support Full support Not supported Not supported Not supported Not supported Note to Table 1: (1) Dependent on programming file generation for each device. 2 Altera Corporation

3 MasterBlaster or ByteBlaster Cable You can use a MasterBlaster, ByteBlasterMV, or ByteBlaster II communication cable to download configuration data to the device. These cables are also used to upload captured signal data from the device s RAM resources to the Quartus II software. The Quartus II software then displays data acquired by the SignalTap II logic analyzer as waveforms. f SignalTap II Logic Analyzer Configuration Options See the MasterBlaster Serial/USB Communications Cable Data Sheet, the ByteBlasterII Parallel Port Download Cable Data Sheet, or the ByteBlasterMV Parallel Port Download Cable Data Sheet (depending on the cable being used) for more information. You can configure the SignalTap II logic analyzer to handle analysis data by either storing captured data in device RAM or routing captured data to I/O pins for use by an external logic analyzer or oscilloscope. The SignalTap II configuration best suited for a design is primarily based on the following. the availability of device memory resources and I/O pins the number of trigger levels being used in analysis whether or not the SignalTap analyzer is used in conjunction with external test equipment Table 2 shows the number of logic elements (LEs) used per number of signals being analyzed at trigger levels 1, 2, and 3. Table 2. SignalTap II LE Utilization (1) Signals Trigger Level 1 Trigger Level 2 Trigger Level Note to Table 2: (1) This table shows LE utilization for a single instance. 1 The number of trigger levels employed in analysis increases the number of LEs required. For an explanation of trigger levels, see Specifying Trigger Levels & Trigger Patterns on page 9. Altera Corporation 3

4 Internal RAM Configuration In the internal RAM configuration, acquired data is saved to the device s internal RAM and then streamed off-device via the IEEE Std Joint Test Action Group (JTAG) port. This setup requires the most memory resources, but the fewest number of I/O pins. The Quartus II software automatically stores acquisition data in the M4K memory blocks of Cyclone, Stratix, and Stratix GX devices. Table 3 shows the SignalTap M4K memory block resource usage for these devices per signal width and sample depth. Table 3. SignalTap II M4K Block Utilization for Cyclone, Stratix GX, and Stratix devices (1) Signals Samples (Width) (Width) ,048 8,192 8 < Note to Table 3: (1) When configuring a SignalTap II analyzer, the Instance Manager reports an estimate of the memory bits and logic elements required to implement the given configuration. The Quartus II software automatically assigns internal memory for acquisition data storage, which is automatically stored in the embedded system blocks (ESBs) of APEX II, APEX 20K, APEX 20KE, APEX 20KC, Mercury, or Excalibur devices. Table 4 shows the SignalTap ESB resource usage for these devices per signal width and sample depth. 4 Altera Corporation

5 Table 4. SignalTap II ESB Utilization for Cyclone, Stratix GX, and Stratix devices Signals Samples (Depth) (Width) ,024 2, Debug Port Configuration When device RAM is limited, the software can route internal signals to unused I/O pins for capture by an external analyzer or oscilloscope. This method is useful for data-intensive applications in which the amount of saved data exceeds the available sample buffer depth provided by the device s RAM. In the debug port configuration, the Quartus II software automatically generates pins for signals selected for output via the debug port. To explicitly assign signals to specific pins, choose Assignments > Assign Pins. f Using the SignalTap II Logic Analyzer For more information about the debug port configuration, see Using the Debug Port Configuration on page 12. Using the SignalTap II logic analyzer involves the following sequence of steps. Creating a SignalTap II (.stp) file (hereafter referred to as an STP file ) Assigning signals to the STP file Assigning an acquisition clock Specifying the sample depth Specifying trigger levels and patterns Compiling the design Programming the device Altera Corporation 5

6 Creating the STP File The STP file is used to set the logic analyzer settings. Along with the settings for the analyzer, this file displays the captured data for viewing and analysis. To create a new STP file, follow these steps. 1. If you have not already done so, perform an analysis and synthesis, an analysis and elaboration, or a compilation of the design. 2. In the Quartus II software, choose File > New. 3. In the dialog box that appears, click on the Other Files tab and select SignalTap II file. 4. Click OK. Figure 2 shows an example of a new STP file. Figure 2. SignalTap II File Assigning Signals to the STP File To assign signals to the STP file, perform the following steps. 1. In the SignalTap II Logic Analyzer window, click the Setup tab. 6 Altera Corporation

7 2. Double-click on the Node Name column, which is above the Setup tab. 3. Set the Node Finder filter to either SignalTap II Pre-Synthesis, or SignalTap II Post-Fitting. Setting the filter to SignalTap II Pre-Synthesis in the nodefinder will find signals within the design that have been preserved prior to Quartus II performing synthesis. Setting the filter to SignalTap II Post Fitting in the nodefinder will find signals within the design that have been preserved after Quartus II has fitted the design in the target device family. The Incremental Route feature will automatically be used with any node found with the be used with the SignalTap II Post Fitting filter. f For more information on this feature, go to Incremental Routing on page 14. To increase the number of signals found with the SignalTap II filters, disable the Preserve Fewer Node Names to save disk space. This option can be found under the Mode section of the Compiler Settings. 4. In the Named box, enter a node name, partial node name, or wildcard characters. To start the node name search, click Start. 5. In the Nodes Found list, select the node or bus you want to add to the STP file. 6. To copy the selected node names to the Selected Nodes list, click > or >>. 7. To insert the selected nodes in the STP file, click OK. Assigning An Acquisition Clock The acquisition clock is used for data sampling, which occurs on every rising edge of the acquisition clock. The speed at which you can run the sample clock varies from one design to the next. The Quartus II static timing analyzer displays the maximum acquisition clock frequency. 1 For best results, assign a global clock to be the SignalTap II acquisition clock signal. Altera Corporation 7

8 If you do not assign the clock signal in the SignalTap II window, the Quartus II software automatically creates a clock pin called auto_stp_external_clk. You must then make an explicit pin assignment for auto_stp_external_clk and connect this pin to an external signal. This signal acts as the acquisition clock for the analyzer. To assign an acquisition clock, perform the following steps. 1. In the SignalTap II Logic Analyzer window, click the Setup tab. 2. Click Browse... next to the Clock list to open the Node Finder. 3. Set the Node Finder filter to either SignalTap II Pre-Synthesis or SignalTap II Post-Fitting. 4. In the Named box, enter the name of the signal that you would like to use as your sample clock. 5. To start the node search, click Start. 6. In the Nodes Found list, select the node representing the design s global clock signal. 7. To copy the selected node name to the Selected Nodes list, click > or >>. 8. Click OK. The node is now specified as the clock in the SignalTap II window. Specifying the Sample Depth The sample depth is the number of samples that are stored for each signal. When the SignalTap II logic analyzer is configured to use device memory, use of device memory resources increases in direct relation to the sample depth. To set the sample depth, use the Sample Depth pull-down menu in the Data section of the Setup Tab of the STP file. The sample depth can range between 0 (zero) and 128K samples. A sample depth of 128K samples allows you to store and display a large amount of data centered around the trigger event. A sample depth of zero samples allows you to preserve memory resources if you only intend to use the debug and/or trigger in/out ports in the SignalTap II logic analyzer. 8 Altera Corporation

9 Specifying Trigger Levels & Trigger Patterns You can configure the SignalTap II tool with up to ten trigger levels. This capability offers a great deal of flexibility and allows you to set complex triggering conditions, making it easier to isolate the conditions that cause a functional failure. Multi-level triggering also allows you to view only the most relevant signal data, thus reducing the number of samples and making it easier to locate the source of the problem. The multiple trigger levels are logically ANDED together, and, after all of the trigger conditions are satisfied, data capture will commence. To specify triggers and triggering levels, perform the following steps. 1. In the SignalTap II window, click the Setup tab. 2. In the Trigger Levels list, select the number of trigger levels that you want to create. 3. If necessary, in the Trigger column, turn on the trigger option for each signal that you want to trigger. 4. Assign a logic condition to each signal that you want to use in the trigger level, as follows. In the L# (L1... L10) column, right-click the appropriate cell and choose one of the following commands from the resulting pop-up menu. Don't Care Low Falling Edge Rising Edge High Either Edge Specifying the Trigger Position The trigger position setting allows you to specify the amount of data that is acquired before the trigger event and the amount that is acquired after the trigger event. The ratio of pre-trigger data to post-trigger data is adjusted by applying the following settings. Pre - save signal activity that occurred after the trigger (12% pretrigger, 88% post-trigger). Center - save half pre-trigger and half post-trigger data. Post - save signal activity that occurred before the trigger (88% pretrigger, 12% post-trigger). Altera Corporation 9

10 Continuous - save signal activity indefinitely (until stopped manually). Specifying Nodes Allocated for Triggering & Data This feature allows you to specify the number of signals that can be analyzed by the SignalTap II logic analyzer. Setting the Nodes Allocated option to Auto means that Quartus II will build a SignalTap II analyzer to accomodate the number of data and trigger channels that were selected in the Setup window. Setting the Nodes Allocated option to Manual allows you to allocate extra nodes, which can be incrementally routed to postfitting nodes later, without performing a full design compilation. This feature can significantly reduce compile times when adding or changing signal selections. f See the Incremental Routing section on page 14 for more information on this feature. To specify whether a node is allocated for triggering, data, or both, scroll across the row for the node in the SignalTap II window and click on one, or both, of the checkboxes for Trigger and Data. Compiling the STP File The STP file must be compiled with the project to function correctly. You must recompile the design whenever one the following changes is made to the STP file. adding or removing instances changing the number of trigger levels changing the number of signals assigning signals changing the sample depth enabling trigger input or output changing the trigger input or trigger output source enabling the debug port To compile the STP file with your Quartus II project: 1. Choose Assignments > Setting. 2. In the Category list, select SignalTap II Logic Analyzer under Compiler Settings. 3. Click Enable SignalTap II Logic Analyzer. 4. In the SignalTap II File name box, type the name of the STP file you want to compile, or select a file name with Browse (...). 10 Altera Corporation

11 5. Click OK, then recompile the design. f See the Incremental Routing section on page 14 for more information on reducing the time needed to compile an STP file after signals are added or changed. Programming the Device for SignalTap II Analysis To program a device for use with the SignalTap II logic analyzer, follow these steps. 1. Under File > JTAG Chain Configuration, select an SRAM Object File (.sof). 2. In the Device list, select the device to which you want to download the design. 1 If you modify the devices on the circuit board and want to scan the new devices, perform the following three steps. a. Click Scan Chain. b. In the Device list, select the device to which you want to download the design. c. Click Program Device. 3. Run the SignalTap II logic analyzer by selecting Run or AutoRun from the SignalTap II window. Advanced Features This section describes the following advanced features: Multiple Analyzer Instances Using the Debug Port Configuration Trigger Input & Trigger Output Configuration Incremental Routing Data Log Instance Manager Waveform Export Utility Mnemonic Table SignalTap II Health Monitor Altera Corporation 11

12 Multiple Analyzer Instances The SignalTap II logic analyzer includes support for multiple embedded logic analyzers within an FPGA device. This feature allows you to create a unique embedded logic analyzer for each clock domain that is present in the design. As multiple unique instances are added to the STP file, the LE count increases proportionally. In addition to debugging multiple clock domains, this feature allows you to apply the same SignalTap II settings to a group of signals within the same clock domain. For example, if you have a set of signals that need to use a sample depth of 64K, while another set of signals within the same clock domain need a 1K sample depth, you can create two unique instances to meet these needs. To create multiple analyzers, select Edit > Create Instance, or right-click in the Instance Manager window, and select Create Instance. Using the Debug Port Configuration When device RAM is limited, the software can route internal signals to unused I/O pins for capture by an external analyzer or oscilloscope. The debug port configuration conserves memory at the expense of I/O pins. It is useful for data-intensive applications in which the amount of saved data exceeds the available sample buffer depth provided by the device s RAM. In the debug port configuration, the Quartus II software automatically generates pins for signals selected for output via the debug port. To use the SignalTap II Analyzer debug port configuration, follow these steps. 1. Click a signal in the Out column. 2. Choose Edit > Enable Debug Port. 3. If you want to rename the debug port pin, type the new name in the Out column. The default signal name for the debug ports is auto_stp_debug_out_<m>_<n>, where m refers to the instance number and n refers to the signal number. 4. Manually assign the debug port signal name to an unused I/O pin. 12 Altera Corporation

13 Trigger Input & Trigger Output Configuration The SignalTap II logic analyzer can use a trigger input for triggering by an external source. The analyzer can also be operated in the trigger output configuration in which it supplies an external signal to trigger other devices. Using these features allows you to synchronize the internal embedded logic analyzer to external logic analysis equipment. Using Trigger In To use Trigger In, perform the following steps. 1. In the SignalTap II logic analyzer, click the Setup tab. 2. In the Signal Configuration window pane, click the Trigger In checkbox. 3. In the Pattern pulldown list, select the condition you would like to act as your trigger event. 4. Click on the Browse button (...), next to the Trigger In, Source field. When the Node Finder window appears, select an input pin in your design by setting the Trigger In source. Using Trigger Out To use Trigger Out, perfom the following steps: 1. In the SignalTap II window, click the Setup tab. 2. In the Signal Configuration window pane, click the Trigger Out checkbox. 3. In the Level list, select the condition you would like to signify the trigger event is occurring. 4. Click on the Browse button (...), next to the Trigger out, Target field. When the Node Finder window appears, select an output pin in your design by setting the Target. Using Trigger Out of One Analyzer as the Trigger In of Another Analyzer An advanced feature of the SignalTap II Logic Analyzer is the ability to enable the Trigger Out of one analyzer and use this signal as the Trigger In to another analyzer. This feature allows you to synchronize and debug events that occur across multiple clock domains. Altera Corporation 13

14 Incremental Routing The incremental routing feature allows you to analyze internal device nodes without affecting the existing placement and routing in a design. SignalTap II incremental routing shortens the debug process by allowing you to analyze post-compilation nodes without performing a full recompile. Before using the SignalTap II incremental routing feature, you must perform the following three steps. Set the number of nodes allocated Select any nodes reserved for incremental routing Perform a Smart Compilation Set the Number of Nodes Allocated Set the Nodes Allocated button to Manual, as shown in Figure 3, and enter a value that includes the number of nodes you want to analyze, plus any extra nodes you may want to incrementally add later in the verification process. f See Specifying Nodes Allocated for Triggering & Data on page 10 for more details on this subject. Figure 3. Nodes Allocated Select Nodes Reserved for Incremental Routing As shown in Figure 4, the Signal Tap II Setup window shows presynthesis nodes and post-fitting nodes, and a column with Incremental Route (shown as Inc Rte) checkboxes. Post-fitting nodes are displayed in blue, with the Inc Rte checkbox enabled and grayed out, so that it cannot be edited. 14 Altera Corporation

15 By enabling the Inc Rte checkbox on pre-synthesis nodes, you will preserve the signal to the fitting stage of the compilation. You can later delete the incrementally-routed pre-synthesis node and replace it with a post-fitting node. The post-fitting node will be incrementally routed to reduce compilation time, and will not increase the number of nodes needed to implement the SignalTap II analyzer. Figure 4. The SignalTap II Setup Window (1) Note to Figure 4: (1) Post-fitting nodes are dislayed in blue, and Inc Rte is always checked for postfitting. Perform a Smart Compilation Before using the SignalTap II incremental routing feature, you must perform a smart compilation. This is accomplished by enabling the Automatically turn on smart compilation if conditions exist in which SignalTap II with incremental routing is used option. This option is available from the SignalTap II logic analyzer page of the Assignment Settings dialog box. Altera Corporation 15

16 After the design is compiled with Smart Compilation enabled, you will be able to add additional nodes to the analyzer (provided sufficient nodes are allocated), or delete a pre-synthesis node with the Inc Rte box checked, and replace it with a post-fitting node. You can also delete a post-fitting node and replace it with another post-fitting node, without performing a full design recompile. The Smart Recompile feature will perform a quick incremental routing compilation to add the additional nodes to your SignalTap II logic analyzer, under the following conditions. you do not add more nodes than were allocated in the previous compilation you did not delete a pre-synthesis node without the Inc Rte checkbox enabled Data Log The data log shows a history of captured data that is acquired with the SignalTap II logic analyzer. The analyzer acquires data and then stores it in a log and displays it as a waveform. The default name for the log is a timestamp based on when the data was acquired. The logs are organized in a hierarchical manner; similar logs of captured data are grouped together in Trigger Sets. To recall a data log from a given trigger set, double click on the data log. Instance Manager This feature, which is important when FPGA resources are limited, allows you to determine SignalTap II resource usage before the compilation. You can tailor the SignalTap II settings based on the available resources. As the SignalTap II configuration is modified, the Instance Manager values are dynamically updated to show estimated LE and memory usage. Figure 5 shows the estimated LE and memory usage for two instances. Figure 5. The Instance Manager Window 16 Altera Corporation

17 Waveform Export Utility This feature allows you to export the acquired data to industry-standard formats that can be used with third-party simulation tools. The export file types are: Comma Separated Values (.csv) Table File (.tbl) Value Change Dump (.vcd) Vector Waveform File (.vwf) To export SignalTap II captured data, choose Export. Mnemonic Table You can configure the SignalTap II Logic Analyzer to create mnemonic tables for a group of signals. The mnemonic table feature allows a set of bit patterns to be assigned to a predefined name, making captured data more meaningful. To create a mnemonic table, right-click in the Setup view of an STP file and select Mnemonic Setup. To assign a group of signals to a mnemonic value, right-click on the group, and select Bus Display Setup. SignalTap II Health Monitor This feature provides you with useful information on the status of the SignalTap II logic analyzer. Click the Help icon next to the message to obtain additional details about the message. Conclusion As the device geometry of FPGAs decrease in size, verification engineers will find it increasingly difficult to access device I/O pins for debugging purposes. With the aid of the SignalTap II logic analyzer, this problem becomes virtually non-existent. Altera Corporation 17

18 101 Innovation Drive San Jose, CA (408) Applications Hotline: (800) 800-EPLD Literature Services: Copyright 2003 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 18 Altera Corporation

ByteBlaster II Parallel Port Download Cable

ByteBlaster II Parallel Port Download Cable ByteBlaster II Parallel Port Download Cable December 2002, Version 1.0 Data Sheet Features Allows PC users to perform the following functions: Program MAX 9000, MAX 7000S, MAX 7000AE, MAX 7000B, MAX 3000A,

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

MasterBlaster Serial/USB Communications Cable User Guide

MasterBlaster Serial/USB Communications Cable User Guide MasterBlaster Serial/USB Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version: 1.0 Document Date: July 2004 P25-10322-00 Copyright

More information

ByteBlaster II Download Cable User Guide

ByteBlaster II Download Cable User Guide ByteBlaster II Download Cable User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com UG-BBII81204-1.1 P25-10324-00 Document Version: 1.1 Document Date: December 2004 Copyright

More information

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1 SignalTap II with Verilog Designs For Quartus II 13.1 1 Introduction This tutorial explains how to use the SignalTap II feature within Altera s Quartus II software. The SignalTap II Embedded Logic Analyzer

More information

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Using Verplex Conformal LEC for Formal Verification of Design Functionality Using Verplex Conformal LEC for Formal Verification of Design Functionality January 2003, ver. 1.0 Application Note 296 Introduction The Altera Quartus II software, version 2.2, easily interfaces with

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

Debugging Nios II Systems with the SignalTap II Logic Analyzer

Debugging Nios II Systems with the SignalTap II Logic Analyzer Debugging Nios II Systems with the SignalTap II Logic Analyzer May 2007, ver. 1.0 Application Note 446 Introduction As FPGA system designs become more sophisticated and system focused, with increasing

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

altshift_taps Megafunction User Guide

altshift_taps Megafunction User Guide altshift_taps Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 1.0 Document Date: September 2004 Copyright 2004 Altera Corporation. All rights

More information

DSP Development Kit, Stratix II Edition

DSP Development Kit, Stratix II Edition DSP Development Kit, Stratix II Edition August 2005, Development Kit version 1.1.0 Errata Sheet This document addresses known errata and documentation changes the DSP Development Kit, Stratix II Edition

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

USB BitJetLite Download Cable

USB BitJetLite Download Cable USB BitJetLite Download Cable User Guide, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Product Version: 1.0 Document Version: 1.0 Document Date: Copyright 2010,.All

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

Supporting Custom Boards with DSP Builder

Supporting Custom Boards with DSP Builder Supporting Custom Boards with DSP Builder April 2003, ver. 1.0 Application Note 221 Introduction As designs become more complex, verification becomes a critical, time consuming process. To address the

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

Agilent Technologies InfiniiVision MSO N5434A FPGA Dynamic Probe for Altera

Agilent Technologies InfiniiVision MSO N5434A FPGA Dynamic Probe for Altera Agilent Technologies InfiniiVision MSO N5434A FPGA Dynamic Probe for Altera Figure 1. FPGA dynamic probe for Altera used in conjunction with an InfiniiVision 6000 or 7000 Series MSO provides an effective

More information

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander March 2004, ver 2.0 Application Note 265 Introduction Advantages of Using MAX II & MAX 3000A Devices Many microcontroller and microprocessors

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

Using MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX 3000A Devices as a Microcontroller I/O Expander Using MAX 3000A Devices as a Microcontroller I/O Expander August 2003, Ver 1.0 Application Note 265 Introduction Advantages of Using MAX 3000A Devices Many microcontrollers and microprocessors limit I/O

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics Excalibur Solutions Using the Expansion Bus Interface October 2002, ver. 1.0 Application Note 143 Introduction In the Excalibur family of devices, an ARM922T processor, memory and peripherals are embedded

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

Active Serial Memory Interface

Active Serial Memory Interface Active Serial Memory Interface October 2002, Version 1.0 Data Sheet Introduction Altera Cyclone TM devices can be configured in active serial configuration mode. This mode reads a configuration bitstream

More information

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software.

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software. White Paper DSSS Modem Lab Background The direct sequence spread spectrum (DSSS) digital modem reference design is a hardware design that has been optimized for the Altera APEX DSP development board (starter

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

Using VCS with the Quartus II Software

Using VCS with the Quartus II Software Using VCS with the Quartus II Sotware December 2002, ver. 1.0 Application Note 239 Introduction As the design complexity o FPGAs continues to rise, veriication engineers are inding it increasingly diicult

More information

Matrices in MAX II & MAX 3000A Devices

Matrices in MAX II & MAX 3000A Devices Crosspoint Switch Matrices in MAX II & MAX 3000A Devices March 200, ver. 2.0 Application Note 29 Introduction With a high level of flexibility, performance, and programmability, you can use crosspoint

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

University Program 3 Kit

University Program 3 Kit University Program 3 Kit VLSI Tutorial : LEDs & Push Buttons Version 02.00 System Level Solutions Inc. (USA) 14702 White Cloud Ct. Morgan Hill, CA 95037 2 System Level Solutions Copyright 2003-2005 System

More information

Using the Nios Development Board Configuration Controller Reference Designs

Using the Nios Development Board Configuration Controller Reference Designs Using the Nios Development Board Controller Reference Designs July 2006 - ver 1.1 Application Note 346 Introduction Many modern embedded systems utilize flash memory to store processor configuration information

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

Quartus II Introduction Using Schematic Design

Quartus II Introduction Using Schematic Design Quartus II Introduction Using Schematic Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

Configuring SRAM-Based LUT Devices

Configuring SRAM-Based LUT Devices Configuring SRAM-Based LUT Devices February 2002, ver. 3.0 Application Note 116 Introduction APEX TM II, APEX 20K, Mercury TM, ACEX TM 1K, FLEX 10K, and FLEX 6000 devices can be configured using one of

More information

On-Chip Memory Implementations

On-Chip Memory Implementations On-Chip Memory Implementations Using Cyclone Memory Blocks March 2003, ver. 1.1 Application Note 252 Introduction Cyclone devices feature embedded memory blocks that can be easily configured to support

More information

UTOPIA Level 2 Slave MegaCore Function

UTOPIA Level 2 Slave MegaCore Function UTOPIA Level 2 Slave MegaCore Function October 2005, Version 2.5.0 Release Notes These release notes for the UTOPIA Level 2 Slave MegaCore function contain the following information: System Requirements

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Using TriMatrix Embedded Memory Blocks

Using TriMatrix Embedded Memory Blocks Using TriMatrix Embedded Memory Blocks in Stratix & Stratix GX evices November 2002, ver. 2.0 Application Note 203 Introduction TriMatrix Memory Stratix and Stratix GX devices feature the TriMatrix memory

More information

Legacy SDRAM Controller with Avalon Interface

Legacy SDRAM Controller with Avalon Interface Legacy SDRAM Controller with Avalon Interface January 2003, Version 1.0 Data Sheet Introduction PTF Assignments SDRAM is commonly used in cost-sensitive applications requiring large amounts of memory.

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design Level 4 Bridge Reference Design October 2001; ver. 1.02 Application Note 180 General Description This application note describes how the Level 4 Bridge reference design can be used to bridge packet or

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

CORDIC Reference Design. Introduction. Background

CORDIC Reference Design. Introduction. Background CORDIC Reference Design June 2005, ver. 1.4 Application Note 263 Introduction The co-ordinate rotation digital computer (CORDIC) reference design implements the CORDIC algorithm, which converts cartesian

More information

Simulating Nios II Embedded Processor Designs

Simulating Nios II Embedded Processor Designs Simulating Nios II Embedded Processor Designs May 2004, ver.1.0 Application Note 351 Introduction The increasing pressure to deliver robust products to market in a timely manner has amplified the importance

More information

White Paper Configuring the MicroBlaster Passive Serial Software Driver

White Paper Configuring the MicroBlaster Passive Serial Software Driver White Paper Configuring the MicroBlaster Passive Serial Software Driver Introduction The MicroBlaster TM software driver is designed to configure Altera programmable logic devices (PLDs) through the ByteBlasterMV

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board DDR2 SODIMM Interface 2011 Help Document DDR2 SODIMM Interface Measurements were made on the DDR2 SODIMM interface using the Board Test System user interface. The Address,

More information

SameFrame Pin-Out Design for FineLine BGA Packages

SameFrame Pin-Out Design for FineLine BGA Packages SameFrame Pin-Out Design for Packages June 1999, er. 1 Application Note 90 Introduction A key adantage of designing with programmable logic is the flexibility which allows designers to quickly modify or

More information

lpm_rom Megafunction User Guide

lpm_rom Megafunction User Guide lpm_rom Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Software Version: 4.2 Document Version: 1.0 Document Date: March 2005 Copyright 2005 Altera Corporation.

More information

Quartus II Introduction Using Verilog Design

Quartus II Introduction Using Verilog Design Quartus II Introduction Using Verilog Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

16. Design Debugging Using In-System Sources and Probes

16. Design Debugging Using In-System Sources and Probes June 2012 QII53021-12.0.0 16. Design Debugging Using In-System Sources and Probes QII53021-12.0.0 This chapter provides detailed instructions about how to use the In-System Sources and Probes Editor and

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

lpm_compare Megafunction User Guide

lpm_compare Megafunction User Guide lpm_compare Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 2.2 Software Version: 7.0 Document Date: March 2007 Copyright 2007 Altera Corporation.

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

Designing with ESBs in APEX II Devices

Designing with ESBs in APEX II Devices Designing with ESBs in APEX II Devices March 2002, ver. 1.0 Application Note 179 Introduction In APEX TM II devices, enhanced embedded system blocks (ESBs) support memory structures, such as single-port

More information

Using the LogicLock Methodology in the

Using the LogicLock Methodology in the Using the LogicLock Methodology in the Quartus II Design Software December 2002, ver. 3.2 Application Note 161 Introduction TM Available exclusively in the Altera Quartus II software, the LogicLock TM

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

Excalibur Solutions DPRAM Reference Design

Excalibur Solutions DPRAM Reference Design Excalibur Solutions DPRAM Reference Design August 22, ver. 2.3 Application Note 173 Introduction The Excalibur devices are excellent system development platforms, offering flexibility, performance, and

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

PCI Express Compiler. System Requirements. New Features & Enhancements

PCI Express Compiler. System Requirements. New Features & Enhancements April 2006, Compiler Version 2.1.0 Release Notes These release notes for the PCI Express Compiler version 2.1.0 contain the following information: System Requirements New Features & Enhancements Errata

More information

Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information

Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Copyright 2004 Altera Corporation. All rights

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

2.5G Reed-Solomon II MegaCore Function Reference Design

2.5G Reed-Solomon II MegaCore Function Reference Design 2.5G Reed-Solomon II MegaCore Function Reference Design AN-642-1.0 Application Note The Altera 2.5G Reed-Solomon (RS) II MegaCore function reference design demonstrates a basic application of the Reed-Solomon

More information

AN 839: Design Block Reuse Tutorial

AN 839: Design Block Reuse Tutorial AN 839: Design Block Reuse Tutorial for Intel Arria 10 FPGA Development Board Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

FFT/IFFT Block Floating Point Scaling

FFT/IFFT Block Floating Point Scaling FFT/IFFT Block Floating Point Scaling October 2005, ver. 1.0 Application Note 404 Introduction The Altera FFT MegaCore function uses block-floating-point (BFP) arithmetic internally to perform calculations.

More information

Simultaneous Multi-Mastering with the Avalon Bus

Simultaneous Multi-Mastering with the Avalon Bus Simultaneous Multi-Mastering with the Avalon Bus April 2002, ver. 1.1 Application Note 184 Introduction The Excalibur Development Kit, featuring the Nios embedded processor version 2.1 supports an enhanced

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Low Power Design Techniques

Low Power Design Techniques Low Power Design Techniques August 2005, ver 1.0 Application Note 401 Introduction This application note provides low-power logic design techniques for Stratix II and Cyclone II devices. These devices

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

E3 Mapper MegaCore Function (E3MAP)

E3 Mapper MegaCore Function (E3MAP) MegaCore Function (E3MAP) March 9, 2001; ver. 1.0 Data Sheet Features Easy-to-use MegaWizard Plug-In generates MegaCore variants Quartus TM II software and OpenCore TM feature allow place-androute, and

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.1 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.1. Errata are functional defects

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models August 2001, ver. 1.0 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Logic Optimization Techniques for Multiplexers

Logic Optimization Techniques for Multiplexers Logic Optimiation Techniques for Multiplexers Jennifer Stephenson, Applications Engineering Paul Metgen, Software Engineering Altera Corporation 1 Abstract To drive down the cost of today s highly complex

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

Quartus II Incremental Compilation for Hierarchical

Quartus II Incremental Compilation for Hierarchical Quartus II Incremental Compilation for Hierarchical and Team-Based Design 3 QII51015 Subscribe About Quartus II Incremental Compilation This manual provides information and design scenarios to help you

More information

Increasing Productivity with Altera Quartus II to I/O Designer/DxDesigner Interface

Increasing Productivity with Altera Quartus II to I/O Designer/DxDesigner Interface Increasing Productivity with Altera Quartus II to I/O Designer/DxDesigner Interface Steven Strell Senior Applications Engineer, Altera Corporation (408) 544-7624 sstrell@altera.com 1 Abstract Today s high-speed,

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

Power Optimization in FPGA Designs

Power Optimization in FPGA Designs Mouzam Khan Altera Corporation mkhan@altera.com ABSTRACT IC designers today are facing continuous challenges in balancing design performance and power consumption. This task is becoming more critical as

More information

Design Debugging Using the SignalTap II Logic Analyzer

Design Debugging Using the SignalTap II Logic Analyzer Design Debugging Using the SignalTap II Logic Analyzer 3 QII539 Subscribe About the SignalTap II Logic Analyzer Altera provides the SignalTap II Logic Analyzer to help with design debugging. This logic

More information

Nios Soft Core. Development Board User s Guide. Altera Corporation 101 Innovation Drive San Jose, CA (408)

Nios Soft Core. Development Board User s Guide. Altera Corporation 101 Innovation Drive San Jose, CA (408) Nios Soft Core Development Board User s Guide Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Nios Soft Core Development Board User s Guide Version 1.1 August

More information

FPGA Design Security Solution Using MAX II Devices

FPGA Design Security Solution Using MAX II Devices White Paper FPGA Solution Using MAX II Devices Introduction SRAM-based FPGAs are volatile devices. They require external memory to store the configuration data that is sent to them at power up. It is possible

More information

December 2002, ver. 1.3 Application Note 191. Six individual interrupts Six-bit priority scheme Five-bit priority scheme plus one individual interrupt

December 2002, ver. 1.3 Application Note 191. Six individual interrupts Six-bit priority scheme Five-bit priority scheme plus one individual interrupt Excalibur Solutions Using the Interrupt Controller December 22, ver..3 Application Note 9 Introduction This document describes the operation of the interrupt controller for the Excalibur devices, particularly

More information