Invyswell: A HyTM for Haswell RTM. Irina Calciu, Justin Gottschlich, Tatiana Shpeisman, Gilles Pokam, Maurice Herlihy

Size: px
Start display at page:

Download "Invyswell: A HyTM for Haswell RTM. Irina Calciu, Justin Gottschlich, Tatiana Shpeisman, Gilles Pokam, Maurice Herlihy"

Transcription

1 Invyswell: A HyTM for Haswell RTM Irina Calciu, Justin Gottschlich, Tatiana Shpeisman, Gilles Pokam, Maurice Herlihy

2 Multicore Performance Scaling u Problem: Locking u Solution: HTM? u IBM BG/Q, zec12, POWER u Intel Haswell TSX Source: embedded.com 2

3 Restricted Transactional Memory (RTM) xbegin() Atomic region called transaction xend() Execute optimistically, without any locks Read and Write Sets Abort on memory conflict: programmer defined behavior 3

4 RTM Fallback: Global Lock if (xbegin() == XBEGIN_STARTED) Execute Transaction xend() else Execute Fallback Path 4

5 Lock Elision Source: Anand Tech 5

6 Why Lock Elision Is Not Enough 4.5 NorecSTM 4 Speedup NorecHy HLE * Threads Labyrinth

7 InvalSTM (prior work) u [Gottschlich et al., CGO 2010] u Scalable u Good for large transactions u Conflict detection using bloom filters 7

8 InvalSTM Software Transaction (prior work) Main body of SW txn Time SW Txn Invalidation On read: Add to read Bfilter On write: Add to write Bfilter Add writes to hash table If can_commit() Invalidation Else restart Commit update memory 8

9 InvalSTM Invalidation (prior work) Inflight Transactions Conflicts? (using bloom filters) Can I commit? Contention Manager Committing Transaction 9

10 InvalSTM Invalidation (prior work) Inflight Transactions no yes no ABORT Contention Manager Committing Transaction 10

11 InvalSTM Invalidation (prior work) Inflight Transactions Contention Manager Aborted 11

12 InvalSTM Invalidation (prior work) Inflight Transactions no yes no COMMIT Contention Manager Committing Transaction 12

13 InvalSTM Invalidation (prior work) Inflight Transactions INVALIDATE Contention Manager Committed 13

14 Software Transaction (InvalSTM) SW Txn Time Invalidation Commit 14

15 Hardware Transaction + Invalidation HW Txn Time Invalidation ABORT Commit 15

16 Hardware Transaction + Invalidation HW Txn Time Commit (Check BF) COMMIT Invalidation Already committed, can t abort 16

17 Software Transaction (Modified InvalSTM) SW Txn Time Commit Invalidation 17

18 x = 2; y = 1; SW Transaction 1 (commit) SW Transaction 2 (execution) Time x++; Read x; y++; Read y; z = 1/(x - y); z = 1/0!!! (invalidation) ABORT 18

19 Read Validation SW Transaction 1 (commit) SW Transaction 2 (execution) Time x++; Check BF Read x; ABORT y++; Check BF Read y; z = 1/(x - y); 19

20 SPECSW (Speculative Software) Begin SW txn, increment sw_cnt Main body of SW txn Time SW On read: Validate and add to read Bfilter On write: Add to write Bfilter Add writes to hash table Commit Post- Commit Acquire commit_lock Validate If can_commit() update memory Else release lock and restart Invalidation Decrement sw_cnt, release lock 20

21 BFHW (Bloom Filters Hardware) xbegin() Time HW Main body of HW txn. On Read: add to read Bfilter On Write: add to write Bfilter Commit if (commit_lock) if (BF conflict()) xabort() xend() Post- Commit Invalidation 21

22 x = 2; y = 1; HW Transaction 1 (commit) SW Transaction 2 (execution) Time x++; y++; ABORT (invalidation) Read x; Read y; z = 1/(x - y); ABORT z = 1/0!!! 22

23 Read Validation Time HW Transaction 1 (commit) x++; y++; Check BF Check BF SW Transaction 2 (execution) Read x; Read y; z = 1/(x - y); 23

24 BFHW xbegin() Time HW Main body of HW txn. On Read: add to read Bfilter On Write: add to write Bfilter Commit Post- Commit if (commit_lock) if (BF_conflict()) xabort() ++hw_post_commit; xend() Invalidation --hw_post_commit (fetch_and_sub) 24

25 Read Validation HW Transaction 1 (commit) SW Transaction 2 (execution) Time x++; y++; Wait for hw_post_commit == 0 Read x; Wait for hw_post_commit == 0 Read y; z = 1/(x - y); 25

26 SPECSW BFHW SW HW Expensive! On Read: add to read Bfilter On Write: add to write Bfilter Time Commit Post- Commit Commit Post- Commit Invalidation Expensive! 26

27 LITEHW (Light Hardware) xbegin() Time HW Main body of HW txn. Commit if (sw_cnt) xabort(); else xend() 27

28 Ensuring Progress Inflight Transactions Contention Manager Committing SW Transaction 28

29 Ensuring Progress Inflight Transactions Contention Manager Committing HW Transaction Committing SW Transaction 29

30 Does not abort Guarantees Progress IRREVOCSW (Irrevocable Software) Acquire commit lock, increment sw_cnt Time SW Main body of SW txn. On Read: add to read Bfilter On Write: add to write Bfilter Use direct updates Expensive! Commit Post- Commit Do nothing (Changes are already committed) Expensive! Invalidation Decrement sw_cnt, release lock 30

31 SGLSW (Single-Global-Lock Software) Acquire commit lock, increment sw_cnt ++commit_sequence SW Main body of SW txn. Use direct updates Time Commit Post- Commit Do nothing (Changes are already committed) ++commit_sequence Decrement sw_cnt, release lock 31

32 Invyswell State Diagram Start retry retry LiteHW retry threshold exceeded large txns with unsupported HTM instructions / overflow no SW txns running? small txns with unsupported HTM instructions SglSW yes retry BFHW retry threshold exceeded SpecSW retry threshold exceeded conflict IrrevocSW

33 Invyswell State Diagram yes Fail-fast? no Start retry LiteHW retry threshold exceeded large txns with unsupported HTM instructions / overflow no SW txns running? SglSW yes small txns with unsupported HTM instructions / fail-fast retry BFHW retry threshold exceeded retry SpecSW

34 Concurrent Execution Matrix

35 Speedup

36

37 Speedup

38 Speedup

39 Transaction Types 1 Thread % transactions benchmarks

40 Transaction Types 8 Threads % transactions benchmarks

41 Conclusions u HLE and RTM w/ SGL fallback are not enough u Invyswell is 35% faster than NOrec, 18% faster than Hybrid NOrec and 25% faster than HLE across all STAMP benchmarks

42 Thank you! u u irina@cs.brown.edu

Transactional Memory. Companion slides for The Art of Multiprocessor Programming by Maurice Herlihy & Nir Shavit

Transactional Memory. Companion slides for The Art of Multiprocessor Programming by Maurice Herlihy & Nir Shavit Transactional Memory Companion slides for The by Maurice Herlihy & Nir Shavit Our Vision for the Future In this course, we covered. Best practices New and clever ideas And common-sense observations. 2

More information

Reduced Hardware Lock Elision

Reduced Hardware Lock Elision Reduced Hardware Lock Elision Yehuda Afek Tel-Aviv University afek@post.tau.ac.il Alexander Matveev MIT matveeva@post.tau.ac.il Nir Shavit MIT shanir@csail.mit.edu Abstract Hardware lock elision (HLE)

More information

Performance Evaluation of Intel Transactional Synchronization Extensions for High-Performance Computing

Performance Evaluation of Intel Transactional Synchronization Extensions for High-Performance Computing Performance Evaluation of Intel Transactional Synchronization Extensions for High-Performance Computing Richard Yoo, Christopher Hughes: Intel Labs Konrad Lai, Ravi Rajwar: Intel Architecture Group Agenda

More information

Hardware Transactional Memory on Haswell

Hardware Transactional Memory on Haswell Hardware Transactional Memory on Haswell Viktor Leis Technische Universität München 1 / 15 Introduction transactional memory is a very elegant programming model transaction { transaction { a = a 10; c

More information

A Concurrent Skip List Implementation with RTM and HLE

A Concurrent Skip List Implementation with RTM and HLE A Concurrent Skip List Implementation with RTM and HLE Fan Gao May 14, 2014 1 Background Semester Performed: Spring, 2014 Instructor: Maurice Herlihy The main idea of my project is to implement a skip

More information

Cost of Concurrency in Hybrid Transactional Memory. Trevor Brown (University of Toronto) Srivatsan Ravi (Purdue University)

Cost of Concurrency in Hybrid Transactional Memory. Trevor Brown (University of Toronto) Srivatsan Ravi (Purdue University) Cost of Concurrency in Hybrid Transactional Memory Trevor Brown (University of Toronto) Srivatsan Ravi (Purdue University) 1 Transactional Memory: a history Hardware TM Software TM Hybrid TM 1993 1995-today

More information

Eliminating Global Interpreter Locks in Ruby through Hardware Transactional Memory

Eliminating Global Interpreter Locks in Ruby through Hardware Transactional Memory Eliminating Global Interpreter Locks in Ruby through Hardware Transactional Memory Rei Odaira, Jose G. Castanos and Hisanobu Tomari IBM Research and University of Tokyo April 8, 2014 Rei Odaira, Jose G.

More information

bool Account::withdraw(int val) { atomic { if(balance > val) { balance = balance val; return true; } else return false; } }

bool Account::withdraw(int val) { atomic { if(balance > val) { balance = balance val; return true; } else return false; } } Transac'onal Memory Acknowledgement: Slides in part adopted from: 1. a talk on Intel TSX from Intel Developer's Forum in 2012 2. the companion slides for the book "The Art of Mul'processor Programming"

More information

HTM in the wild. Konrad Lai June 2015

HTM in the wild. Konrad Lai June 2015 HTM in the wild Konrad Lai June 2015 Industrial Considerations for HTM Provide a clear benefit to customers Improve performance & scalability Ease programmability going forward Improve something common

More information

Intel Transactional Synchronization Extensions (Intel TSX) Linux update. Andi Kleen Intel OTC. Linux Plumbers Sep 2013

Intel Transactional Synchronization Extensions (Intel TSX) Linux update. Andi Kleen Intel OTC. Linux Plumbers Sep 2013 Intel Transactional Synchronization Extensions (Intel TSX) Linux update Andi Kleen Intel OTC Linux Plumbers Sep 2013 Elision Elision : the act or an instance of omitting something : omission On blocking

More information

Work Report: Lessons learned on RTM

Work Report: Lessons learned on RTM Work Report: Lessons learned on RTM Sylvain Genevès IPADS September 5, 2013 Sylvain Genevès Transactionnal Memory in commodity hardware 1 / 25 Topic Context Intel launches Restricted Transactional Memory

More information

Managing Resource Limitation of Best-Effort HTM

Managing Resource Limitation of Best-Effort HTM Managing Resource Limitation of Best-Effort HTM Mohamed Mohamedin, Roberto Palmieri, Ahmed Hassan, Binoy Ravindran Abstract The first release of hardware transactional memory (HTM) as commodity processor

More information

Thread-Level Speculation on Off-the-Shelf Hardware Transactional Memory

Thread-Level Speculation on Off-the-Shelf Hardware Transactional Memory Thread-Level Speculation on Off-the-Shelf Hardware Transactional Memory Rei Odaira Takuya Nakaike IBM Research Tokyo Thread-Level Speculation (TLS) [Franklin et al., 92] or Speculative Multithreading (SpMT)

More information

Accelerating Irregular Computations with Hardware Transactional Memory and Active Messages

Accelerating Irregular Computations with Hardware Transactional Memory and Active Messages MACIEJ BESTA, TORSTEN HOEFLER spcl.inf.ethz.ch Accelerating Irregular Computations with Hardware Transactional Memory and Active Messages LARGE-SCALE IRREGULAR GRAPH PROCESSING Becoming more important

More information

Reduced Hardware NOrec: A Safe and Scalable Hybrid Transactional Memory

Reduced Hardware NOrec: A Safe and Scalable Hybrid Transactional Memory Reduced Hardware NOrec: A Safe and Scalable Hybrid Transactional Memory Alexander Matveev MIT amatveev@csail.mit.edu Nir Shavit MIT shanir@csail.mit.edu Abstract Because of hardware TM limitations, software

More information

Enhancing Real-Time Behaviour of Parallel Applications using Intel TSX

Enhancing Real-Time Behaviour of Parallel Applications using Intel TSX Enhancing Real-Time Behaviour of Parallel Applications using Intel TSX Florian Haas, Stefan Metzlaff, Sebastian Weis, and Theo Ungerer Department of Computer Science, University of Augsburg, Germany January

More information

On Improving Transactional Memory: Optimistic Transactional Boosting, Remote Execution, and Hybrid Transactions

On Improving Transactional Memory: Optimistic Transactional Boosting, Remote Execution, and Hybrid Transactions On Improving Transactional Memory: Optimistic Transactional Boosting, Remote Execution, and Hybrid Transactions Ahmed Hassan Preliminary Examination Proposal submitted to the Faculty of the Virginia Polytechnic

More information

Implementing Transactional Memory in Kernel space

Implementing Transactional Memory in Kernel space Implementing Transactional Memory in Kernel space Breno Leitão Linux Technology Center leitao@debian.org leitao@freebsd.org Problem Statement Mutual exclusion concurrency control (Lock) Locks type: Semaphore

More information

Transactional Memory. How to do multiple things at once. Benjamin Engel Transactional Memory 1 / 28

Transactional Memory. How to do multiple things at once. Benjamin Engel Transactional Memory 1 / 28 Transactional Memory or How to do multiple things at once Benjamin Engel Transactional Memory 1 / 28 Transactional Memory: Architectural Support for Lock-Free Data Structures M. Herlihy, J. Eliot, and

More information

SELF-TUNING HTM. Paolo Romano

SELF-TUNING HTM. Paolo Romano SELF-TUNING HTM Paolo Romano 2 Based on ICAC 14 paper N. Diegues and Paolo Romano Self-Tuning Intel Transactional Synchronization Extensions 11 th USENIX International Conference on Autonomic Computing

More information

Concurrent programming: From theory to practice. Concurrent Algorithms 2015 Vasileios Trigonakis

Concurrent programming: From theory to practice. Concurrent Algorithms 2015 Vasileios Trigonakis oncurrent programming: From theory to practice oncurrent Algorithms 2015 Vasileios Trigonakis From theory to practice Theoretical (design) Practical (design) Practical (implementation) 2 From theory to

More information

COMP3151/9151 Foundations of Concurrency Lecture 8

COMP3151/9151 Foundations of Concurrency Lecture 8 1 COMP3151/9151 Foundations of Concurrency Lecture 8 Transactional Memory Liam O Connor CSE, UNSW (and data61) 8 Sept 2017 2 The Problem with Locks Problem Write a procedure to transfer money from one

More information

Enhancing efficiency of Hybrid Transactional Memory via Dynamic Data Partitioning Schemes

Enhancing efficiency of Hybrid Transactional Memory via Dynamic Data Partitioning Schemes Enhancing efficiency of Hybrid Transactional Memory via Dynamic Data Partitioning Schemes Pedro Raminhas Instituto Superior Técnico, Universidade de Lisboa Lisbon, Portugal Email: pedro.raminhas@tecnico.ulisboa.pt

More information

CS4021/4521 INTRODUCTION

CS4021/4521 INTRODUCTION CS4021/4521 Advanced Computer Architecture II Prof Jeremy Jones Rm 4.16 top floor South Leinster St (SLS) jones@scss.tcd.ie South Leinster St CS4021/4521 2018 jones@scss.tcd.ie School of Computer Science

More information

CS5460/6460: Operating Systems. Lecture 14: Scalability techniques. Anton Burtsev February, 2014

CS5460/6460: Operating Systems. Lecture 14: Scalability techniques. Anton Burtsev February, 2014 CS5460/6460: Operating Systems Lecture 14: Scalability techniques Anton Burtsev February, 2014 Recap: read and write barriers void foo(void) { a = 1; smp_wmb(); b = 1; } void bar(void) { while (b == 0)

More information

Mutex Locking versus Hardware Transactional Memory: An Experimental Evaluation

Mutex Locking versus Hardware Transactional Memory: An Experimental Evaluation Mutex Locking versus Hardware Transactional Memory: An Experimental Evaluation Thesis Defense Master of Science Sean Moore Advisor: Binoy Ravindran Systems Software Research Group Virginia Tech Multiprocessing

More information

arxiv: v2 [cs.dc] 2 Mar 2017

arxiv: v2 [cs.dc] 2 Mar 2017 1 DyAdHyTM: A Low Overhead Dynamically Adaptive Hybrid Transactional Memory on Big Data Graphs Mohammad Qayum and Abdel-Hameed Badawy, New Mexico State University Jeanine Cook, Sandia National Laboratories

More information

Efficient Hybrid Transactional Memory Scheme using Near-optimal Retry Computation and Sophisticated Memory Management in Multi-core Environment

Efficient Hybrid Transactional Memory Scheme using Near-optimal Retry Computation and Sophisticated Memory Management in Multi-core Environment J Inf Process Syst, Vol.14, No.2, pp.499~509, April 2018 https://doi.org/10.3745/jips.01.0026 ISSN 1976-913X (Print) ISSN 2092-805X (Electronic) Efficient Hybrid Transactional Memory Scheme using Near-optimal

More information

Amalgamated Lock-Elision

Amalgamated Lock-Elision Amalgamated Lock-Elision Yehuda Afek 1, Alexander Matveev 2, Oscar R. Moll 3, and Nir Shavit 4 1 Tel-Aviv University, afek@post.tau.ac.il 2 MIT, amatveev@csail.mit.edu 3 MIT, orm@mit.edu 4 MIT and Tel-Aviv

More information

Chí Cao Minh 28 May 2008

Chí Cao Minh 28 May 2008 Chí Cao Minh 28 May 2008 Uniprocessor systems hitting limits Design complexity overwhelming Power consumption increasing dramatically Instruction-level parallelism exhausted Solution is multiprocessor

More information

Understanding Hardware Transactional Memory

Understanding Hardware Transactional Memory Understanding Hardware Transactional Memory Gil Tene, CTO & co-founder, Azul Systems @giltene 2015 Azul Systems, Inc. Agenda Brief introduction What is Hardware Transactional Memory (HTM)? Cache coherence

More information

Refined Transactional Lock Elision

Refined Transactional Lock Elision Refined Transactional Elision Dave Dice Alex Kogan Yossi Lev Oracle Labs {dave.dice,alex.kogan,yossi.lev}@oracle.com Abstract Transactional lock elision () is a well-known technique that exploits hardware

More information

VMM Emulation of Intel Hardware Transactional Memory

VMM Emulation of Intel Hardware Transactional Memory VMM Emulation of Intel Hardware Transactional Memory Maciej Swiech, Kyle Hale, Peter Dinda Northwestern University V3VEE Project www.v3vee.org Hobbes Project 1 What will we talk about? We added the capability

More information

HAFT Hardware-Assisted Fault Tolerance

HAFT Hardware-Assisted Fault Tolerance HAFT Hardware-Assisted Fault Tolerance Dmitrii Kuvaiskii Rasha Faqeh Pramod Bhatotia Christof Fetzer Technische Universität Dresden Pascal Felber Université de Neuchâtel Hardware Errors in the Wild Online

More information

Performance Improvement via Always-Abort HTM

Performance Improvement via Always-Abort HTM 1 Performance Improvement via Always-Abort HTM Joseph Izraelevitz* Lingxiang Xiang Michael L. Scott* *Department of Computer Science University of Rochester {jhi1,scott}@cs.rochester.edu Parallel Computing

More information

Lecture 20: Transactional Memory. Parallel Computer Architecture and Programming CMU , Spring 2013

Lecture 20: Transactional Memory. Parallel Computer Architecture and Programming CMU , Spring 2013 Lecture 20: Transactional Memory Parallel Computer Architecture and Programming Slide credit Many of the slides in today s talk are borrowed from Professor Christos Kozyrakis (Stanford University) Raising

More information

Performance Improvement via Always-Abort HTM

Performance Improvement via Always-Abort HTM 1 Performance Improvement via Always-Abort HTM Joseph Izraelevitz* Lingxiang Xiang Michael L. Scott* *Department of Computer Science University of Rochester {jhi1,scott}@cs.rochester.edu Parallel Computing

More information

Lock Elision and Transactional Memory Predictor in Hardware. William Galliher, Liang Zhang, Kai Zhao. University of Wisconsin Madison

Lock Elision and Transactional Memory Predictor in Hardware. William Galliher, Liang Zhang, Kai Zhao. University of Wisconsin Madison Lock Elision and Transactional Memory Predictor in Hardware William Galliher, Liang Zhang, Kai Zhao University of Wisconsin Madison Email: {galliher, lzhang432, kzhao32}@wisc.edu ABSTRACT Shared data structure

More information

New Programming Abstractions for Concurrency in GCC 4.7. Torvald Riegel Red Hat 12/04/05

New Programming Abstractions for Concurrency in GCC 4.7. Torvald Riegel Red Hat 12/04/05 New Programming Abstractions for Concurrency in GCC 4.7 Red Hat 12/04/05 1 Concurrency and atomicity C++11 atomic types Transactional Memory Provide atomicity for concurrent accesses by different threads

More information

An Update on Haskell H/STM 1

An Update on Haskell H/STM 1 An Update on Haskell H/STM 1 Ryan Yates and Michael L. Scott University of Rochester TRANSACT 10, 6-15-2015 1 This work was funded in part by the National Science Foundation under grants CCR-0963759, CCF-1116055,

More information

Transactional Memory: Architectural Support for Lock-Free Data Structures Maurice Herlihy and J. Eliot B. Moss ISCA 93

Transactional Memory: Architectural Support for Lock-Free Data Structures Maurice Herlihy and J. Eliot B. Moss ISCA 93 Transactional Memory: Architectural Support for Lock-Free Data Structures Maurice Herlihy and J. Eliot B. Moss ISCA 93 What are lock-free data structures A shared data structure is lock-free if its operations

More information

Lecture 21: Transactional Memory. Topics: Hardware TM basics, different implementations

Lecture 21: Transactional Memory. Topics: Hardware TM basics, different implementations Lecture 21: Transactional Memory Topics: Hardware TM basics, different implementations 1 Transactions New paradigm to simplify programming instead of lock-unlock, use transaction begin-end locks are blocking,

More information

Opportunities and pitfalls of multi-core scaling using Hardware Transaction Memory

Opportunities and pitfalls of multi-core scaling using Hardware Transaction Memory Opportunities and pitfalls of multi-core scaling using Hardware Transaction Memory Zhaoguo Wang, Hao Qian, Haibo Chen, Jinyang Li School of Computer Science, Fudan University Institute of Parallel and

More information

Going Under the Hood with Intel s Next Generation Microarchitecture Codename Haswell

Going Under the Hood with Intel s Next Generation Microarchitecture Codename Haswell Going Under the Hood with Intel s Next Generation Microarchitecture Codename Haswell Ravi Rajwar Intel Corporation QCon San Francisco Nov 9, 2012 1 What is Haswell? 45nm 32nm 22nm Nehalem Westmere Sandy

More information

Massimiliano Ghilardi

Massimiliano Ghilardi 7 th European Lisp Symposium Massimiliano Ghilardi May 5-6, 2014 IRCAM, Paris, France High performance concurrency in Common Lisp hybrid transactional memory with STMX 2 Beautiful and fast concurrency

More information

HydraVM: Mohamed M. Saad Mohamed Mohamedin, and Binoy Ravindran. Hot Topics in Parallelism (HotPar '12), Berkeley, CA

HydraVM: Mohamed M. Saad Mohamed Mohamedin, and Binoy Ravindran. Hot Topics in Parallelism (HotPar '12), Berkeley, CA HydraVM: Mohamed M. Saad Mohamed Mohamedin, and Binoy Ravindran Hot Topics in Parallelism (HotPar '12), Berkeley, CA Motivation & Objectives Background Architecture Program Reconstruction Implementation

More information

Transactional Memory. Lecture 19: Parallel Computer Architecture and Programming CMU /15-618, Spring 2015

Transactional Memory. Lecture 19: Parallel Computer Architecture and Programming CMU /15-618, Spring 2015 Lecture 19: Transactional Memory Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Credit: many of the slides in today s talk are borrowed from Professor Christos Kozyrakis

More information

Teleportation as a Strategy for Improving Concurrent Skiplist Performance. Frances Steen

Teleportation as a Strategy for Improving Concurrent Skiplist Performance. Frances Steen Teleportation as a Strategy for Improving Concurrent Skiplist Performance by Frances Steen Submitted to the Department of Computer Science in partial fulfillment of the requirements for the degree of Bachelor

More information

) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons)

) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons) ) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons) Transactions - Definition A transaction is a sequence of data operations with the following properties: * A Atomic All

More information

Performance Evaluation of Adaptivity in STM. Mathias Payer and Thomas R. Gross Department of Computer Science, ETH Zürich

Performance Evaluation of Adaptivity in STM. Mathias Payer and Thomas R. Gross Department of Computer Science, ETH Zürich Performance Evaluation of Adaptivity in STM Mathias Payer and Thomas R. Gross Department of Computer Science, ETH Zürich Motivation STM systems rely on many assumptions Often contradicting for different

More information

Bw-Tree. Josef Schmeißer. January 9, Josef Schmeißer Bw-Tree January 9, / 25

Bw-Tree. Josef Schmeißer. January 9, Josef Schmeißer Bw-Tree January 9, / 25 Bw-Tree Josef Schmeißer January 9, 2018 Josef Schmeißer Bw-Tree January 9, 2018 1 / 25 Table of contents 1 Fundamentals 2 Tree Structure 3 Evaluation 4 Further Reading Josef Schmeißer Bw-Tree January 9,

More information

Scheduling Transactions in Replicated Distributed Transactional Memory

Scheduling Transactions in Replicated Distributed Transactional Memory Scheduling Transactions in Replicated Distributed Transactional Memory Junwhan Kim and Binoy Ravindran Virginia Tech USA {junwhan,binoy}@vt.edu CCGrid 2013 Concurrency control on chip multiprocessors significantly

More information

6 Transactional Memory. Robert Mullins

6 Transactional Memory. Robert Mullins 6 Transactional Memory ( MPhil Chip Multiprocessors (ACS Robert Mullins Overview Limitations of lock-based programming Transactional memory Programming with TM ( STM ) Software TM ( HTM ) Hardware TM 2

More information

Reduced Hardware Transactions: A New Approach to Hybrid Transactional Memory

Reduced Hardware Transactions: A New Approach to Hybrid Transactional Memory Reduced Hardware Transactions: A New Approach to Hybrid Transactional Memory Alexander Matveev Tel-Aviv University matveeva@post.tau.ac.il Nir Shavit MIT and Tel-Aviv University shanir@csail.mit.edu Abstract

More information

Exploiting Hardware Transactional Memory for Efficient In-Memory Transaction Processing. Hao Qian, Zhaoguo Wang, Haibing Guan, Binyu Zang, Haibo Chen

Exploiting Hardware Transactional Memory for Efficient In-Memory Transaction Processing. Hao Qian, Zhaoguo Wang, Haibing Guan, Binyu Zang, Haibo Chen Exploiting Hardware Transactional Memory for Efficient In-Memory Transaction Processing Hao Qian, Zhaoguo Wang, Haibing Guan, Binyu Zang, Haibo Chen Shanghai Key Laboratory of Scalable Computing and Systems

More information

Dependence-Aware Transactional Memory for Increased Concurrency. Hany E. Ramadan, Christopher J. Rossbach, Emmett Witchel University of Texas, Austin

Dependence-Aware Transactional Memory for Increased Concurrency. Hany E. Ramadan, Christopher J. Rossbach, Emmett Witchel University of Texas, Austin Dependence-Aware Transactional Memory for Increased Concurrency Hany E. Ramadan, Christopher J. Rossbach, Emmett Witchel University of Texas, Austin Concurrency Conundrum Challenge: CMP ubiquity Parallel

More information

Cost of Concurrency in Hybrid Transactional Memory

Cost of Concurrency in Hybrid Transactional Memory Cost of Concurrency in Hybrid Transactional Memory Trevor Brown 1 and Srivatsan Ravi 2 1 Technion, Israel Institute of Technology, Haifa, Israel me@tbrown.pro 2 University of Southern California, Los Angeles,

More information

Ensuring dependability and improving performance of transactional systems deployed on multi-core architectures

Ensuring dependability and improving performance of transactional systems deployed on multi-core architectures Ensuring dependability and improving performance of transactional systems deployed on multi-core architectures Mohamed Mohamedin Preliminary Examination Proposal submitted to the Faculty of the Virginia

More information

A Practical Transactional Memory Interface

A Practical Transactional Memory Interface A Practical Transactional Memory Interface Shahar Timnat 1, Maurice Herlihy 2, and Erez Petrank 1 1 Computer Science Department, Technion, 2 Computer Science Department, Brown University Abstract. Hardware

More information

DHTM: Durable Hardware Transactional Memory

DHTM: Durable Hardware Transactional Memory DHTM: Durable Hardware Transactional Memory Arpit Joshi, Vijay Nagarajan, Marcelo Cintra, Stratis Viglas ISCA 2018 is here!2 is here!2 Systems LLC!3 Systems - Non-volatility over the memory bus - Load/Store

More information

Insights into the Fallback Path of Best-Effort Hardware Transactional Memory Systems

Insights into the Fallback Path of Best-Effort Hardware Transactional Memory Systems Insights into the Fallback Path of Best-Effort Hardware Transactional Memory Systems Ricardo Quislant, Eladio Gutierrez, Emilio L. Zapata, and Oscar Plata Department of Computer Architecture, University

More information

Exploiting Hardware Transactional Memory to Accelerate Concurrent Spatio-Temporal Indexes

Exploiting Hardware Transactional Memory to Accelerate Concurrent Spatio-Temporal Indexes Exploiting Hardware Transactional Memory to Accelerate Concurrent Spatio-Temporal Indexes Nuno Henrique Nina Ribeiro Elvas Fangueiro Thesis to obtain the Master of Science Degree in Information Systems

More information

INTRODUCTION. Hybrid Transactional Memory. Transactional Memory. Problems with Transactional Memory Problems

INTRODUCTION. Hybrid Transactional Memory. Transactional Memory. Problems with Transactional Memory Problems Hybrid Transactional Memory Peter Damron Sun Microsystems peter.damron@sun.com Alexandra Fedorova Harvard University and Sun Microsystems Laboratories fedorova@eecs.harvard.edu Yossi Lev Brown University

More information

) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons)

) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons) ) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons) Goal A Distributed Transaction We want a transaction that involves multiple nodes Review of transactions and their properties

More information

Integrating Transactional Memory Support in the TensorFlow Framework

Integrating Transactional Memory Support in the TensorFlow Framework Integrating Transactional Memory Support in the TensorFlow Framework Facoltà di Ingegneria dell Informazione, Informatica e Statistica Master of Science in Engineering in Computer Science Candidate Davide

More information

A Hybrid TM for Haskell

A Hybrid TM for Haskell A Hybrid TM for Haskell Ryan Yates Michael L. Scott Computer Science Department, University of Rochester {ryates,scott}@cs.rochester.edu Abstract Much of the success of Haskell s Software Transactional

More information

Transactional Memory for Heterogeneous CPU-GPU Systems

Transactional Memory for Heterogeneous CPU-GPU Systems Transactional Memory for Heterogeneous CPU-GPU Systems Ricardo Manuel Nunes Vieira Thesis to obtain the Master of Science Degree in Electrical and Computer Engineering Supervisors: Dr. Aleksandar Ilic

More information

Enhancing Concurrency in Distributed Transactional Memory through Commutativity

Enhancing Concurrency in Distributed Transactional Memory through Commutativity Enhancing Concurrency in Distributed Transactional Memory through Commutativity Junwhan Kim, Roberto Palmieri, Binoy Ravindran Virginia Tech USA Lock-based concurrency control has serious drawbacks Coarse

More information

Lecture: Transactional Memory. Topics: TM implementations

Lecture: Transactional Memory. Topics: TM implementations Lecture: Transactional Memory Topics: TM implementations 1 Summary of TM Benefits As easy to program as coarse-grain locks Performance similar to fine-grain locks Avoids deadlock 2 Design Space Data Versioning

More information

Optimizing Hybrid Transactional Memory: The Importance of Nonspeculative Operations

Optimizing Hybrid Transactional Memory: The Importance of Nonspeculative Operations Optimizing Hybrid Transactional Memory: The Importance of Nonspeculative Operations Torvald Riegel Technische Universität Dresden, Germany torvald.riegel@tudresden.de Patrick Marlier Université de Neuchâtel,

More information

Applying HTM to an OLTP System: No Free Lunch

Applying HTM to an OLTP System: No Free Lunch Applying HTM to an OLTP System: No Free Lunch David Cervini École Polytechnique Fédérale de Lausanne david.cervini@epfl.ch Danica Porobic École Polytechnique Fédérale de Lausanne danica.porobic@epfl.ch

More information

NON-BLOCKING DATA STRUCTURES AND TRANSACTIONAL MEMORY. Tim Harris, 28 November 2014

NON-BLOCKING DATA STRUCTURES AND TRANSACTIONAL MEMORY. Tim Harris, 28 November 2014 NON-BLOCKING DATA STRUCTURES AND TRANSACTIONAL MEMORY Tim Harris, 28 November 2014 Lecture 8 Problems with locks Atomic blocks and composition Hardware transactional memory Software transactional memory

More information

Modularising Opacity Verification for Hybrid Transactional Memory

Modularising Opacity Verification for Hybrid Transactional Memory Modularising Opacity Verification for Hybrid Transactional Memory Alasdair Armstrong and Brijesh Dongol Brunel University London firstname.lastname@brunel.ac.uk Abstract. Transactional memory (TM) manages

More information

1 RCU. 2 Improving spinlock performance. 3 Kernel interface for sleeping locks. 4 Deadlock. 5 Transactions. 6 Scalable interface design

1 RCU. 2 Improving spinlock performance. 3 Kernel interface for sleeping locks. 4 Deadlock. 5 Transactions. 6 Scalable interface design Overview of Monday s and today s lectures Outline Locks create serial code - Serial code gets no speedup from multiprocessors Test-and-set spinlock has additional disadvantages - Lots of traffic over memory

More information

Transactional Memory. Lecture 18: Parallel Computer Architecture and Programming CMU /15-618, Spring 2017

Transactional Memory. Lecture 18: Parallel Computer Architecture and Programming CMU /15-618, Spring 2017 Lecture 18: Transactional Memory Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2017 Credit: many slides in today s talk are borrowed from Professor Christos Kozyrakis (Stanford

More information

Transactional Memory. Prof. Hsien-Hsin S. Lee School of Electrical and Computer Engineering Georgia Tech

Transactional Memory. Prof. Hsien-Hsin S. Lee School of Electrical and Computer Engineering Georgia Tech Transactional Memory Prof. Hsien-Hsin S. Lee School of Electrical and Computer Engineering Georgia Tech (Adapted from Stanford TCC group and MIT SuperTech Group) Motivation Uniprocessor Systems Frequency

More information

Speculative Synchronization

Speculative Synchronization Speculative Synchronization José F. Martínez Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/martinez Problem 1: Conservative Parallelization No parallelization

More information

Extending Hardware Transactional Memory Capacity via Rollback-Only Transactions and Suspend/Resume

Extending Hardware Transactional Memory Capacity via Rollback-Only Transactions and Suspend/Resume Extending Hardware Transactional Memory Capacity via Rollback-Only Transactions and Suspend/Resume Shady Issa 1, Pascal Felber 2, Alexander Matveev 3, and Paolo Romano 4 1 INESC-ID / Instituto Superior

More information

Chris Rossbach, Owen Hofmann, Don Porter, Hany Ramadan, Aditya Bhandari, Emmett Witchel University of Texas at Austin

Chris Rossbach, Owen Hofmann, Don Porter, Hany Ramadan, Aditya Bhandari, Emmett Witchel University of Texas at Austin Chris Rossbach, Owen Hofmann, Don Porter, Hany Ramadan, Aditya Bhandari, Emmett Witchel University of Texas at Austin Hardware Transactional Memory is a reality Sun Rock supports HTM Solaris 10 takes advantage

More information

Legato: End-to-End Bounded Region Serializability Using Commodity Hardware Transactional Memory

Legato: End-to-End Bounded Region Serializability Using Commodity Hardware Transactional Memory Legato: End-to-End Bounded Region Serializability Using Commodity Hardware Transactional Memory Aritra Sengupta Man Cao Michael D. Bond Milind Kulkarni Ohio State University (USA) Purdue University (USA)

More information

) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons)

) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons) ) Intel)(TX)memory):) Transac'onal) Synchroniza'on) Extensions)(TSX))) Transac'ons) Goal A Distributed Transaction We want a transaction that involves multiple nodes Review of transactions and their properties

More information

Phased Transactional Memory

Phased Transactional Memory Phased Transactional Memory Dan Nussbaum Scalable Synchronization Research Group Joint work with Yossi Lev and Mark Moir Sun Microsystems Labs August 16, 2007 1 Transactional Memory (TM) Replace locks

More information

Commercial-Off-the-shelf Hardware Transactional Memory for Tolerating Transient Hardware Errors

Commercial-Off-the-shelf Hardware Transactional Memory for Tolerating Transient Hardware Errors Commercial-Off-the-shelf Hardware Transactional Memory for Tolerating Transient Hardware Errors Rasha Faqeh TU- Dresden 19.01.2015 Dresden, 23.09.2011 Transient Error Recovery Motivation Folie Nr. 12 von

More information

Invalidating Transactions: Optimizations, Theory, Guarantees, and Unification

Invalidating Transactions: Optimizations, Theory, Guarantees, and Unification University of Colorado, Boulder CU Scholar Electrical, Computer & Energy Engineering Graduate Theses & Dissertations Electrical, Computer & Energy Engineering Spring 1-1-2011 Invalidating Transactions:

More information

Eunomia: Scaling Concurrent Search Trees under Contention Using HTM

Eunomia: Scaling Concurrent Search Trees under Contention Using HTM Eunomia: Scaling Concurrent Search Trees under Contention Using HTM Xin Wang*, Weihua Zhang*, Zhaoguo Wang, Ziyun Wei*, Haibo Chen, Wenyun Zhao * Software School, Fudan University Shanghai Key Laboratory

More information

Exploiting Distributed Software Transactional Memory

Exploiting Distributed Software Transactional Memory Exploiting Distributed Software Transactional Memory Christos Kotselidis Research Fellow Advanced Processor Technologies Group The University of Manchester Outline Transactional Memory Distributed Transactional

More information

ABORTING CONFLICTING TRANSACTIONS IN AN STM

ABORTING CONFLICTING TRANSACTIONS IN AN STM Committing ABORTING CONFLICTING TRANSACTIONS IN AN STM PPOPP 09 2/17/2009 Hany Ramadan, Indrajit Roy, Emmett Witchel University of Texas at Austin Maurice Herlihy Brown University TM AND ITS DISCONTENTS

More information

Yuxi Chen, Shu Wang, Shan Lu, and Karthikeyan Sankaralingam *

Yuxi Chen, Shu Wang, Shan Lu, and Karthikeyan Sankaralingam * Yuxi Chen, Shu Wang, Shan Lu, and Karthikeyan Sankaralingam * * 2 q Synchronization mistakes in multithreaded programs Thread 1 Thread 2 If(ptr){ tmp = *ptr; ptr = NULL; } Segfault q Common q Hard to diagnose

More information

Fall 2012 Parallel Computer Architecture Lecture 16: Speculation II. Prof. Onur Mutlu Carnegie Mellon University 10/12/2012

Fall 2012 Parallel Computer Architecture Lecture 16: Speculation II. Prof. Onur Mutlu Carnegie Mellon University 10/12/2012 18-742 Fall 2012 Parallel Computer Architecture Lecture 16: Speculation II Prof. Onur Mutlu Carnegie Mellon University 10/12/2012 Past Due: Review Assignments Was Due: Tuesday, October 9, 11:59pm. Sohi

More information

Implementing and Evaluating Nested Parallel Transactions in STM. Woongki Baek, Nathan Bronson, Christos Kozyrakis, Kunle Olukotun Stanford University

Implementing and Evaluating Nested Parallel Transactions in STM. Woongki Baek, Nathan Bronson, Christos Kozyrakis, Kunle Olukotun Stanford University Implementing and Evaluating Nested Parallel Transactions in STM Woongki Baek, Nathan Bronson, Christos Kozyrakis, Kunle Olukotun Stanford University Introduction // Parallelize the outer loop for(i=0;i

More information

Atomic Transactions in Cilk Project Presentation 12/1/03

Atomic Transactions in Cilk Project Presentation 12/1/03 Atomic Transactions in Cilk 6.895 Project Presentation 12/1/03 Data Races and Nondeterminism int x = 0; 1: read x 1: write x time cilk void increment() { x = x + 1; cilk int main() { spawn increment();

More information

Optimizing Hybrid Transactional Memory: The Importance of Nonspeculative Operations

Optimizing Hybrid Transactional Memory: The Importance of Nonspeculative Operations Optimizing Hybrid Transactional Memory: The Importance of Nonspeculative Operations Torvald Riegel Technische Universität Dresden, Germany torvald.riegel@tudresden.de Patrick Marlier Université de Neuchâtel,

More information

PHyTM: Persistent Hybrid Transactional Memory

PHyTM: Persistent Hybrid Transactional Memory : Persistent Hybrid Transactional Memory Hillel Avni Huawei Technologies European Research Institute hillel.avni@huawei.com Trevor Brown University of Toronto tabrown@cs.toronto.edu ABSTRACT Processors

More information

Enhancing efficiency of Hybrid Transactional Memory via Dynamic Data Partitioning Schemes

Enhancing efficiency of Hybrid Transactional Memory via Dynamic Data Partitioning Schemes Enhancing efficiency of Hybrid Transactional Memory via Dynamic Data Partitioning Schemes Pedro Luís Galvão Raminhas Thesis to obtain the Master of Science Degree in Information Systems and Computer Engineering

More information

PHyTM: Persistent Hybrid Transactional Memory

PHyTM: Persistent Hybrid Transactional Memory : Persistent Hybrid Transactional Memory Hillel Avni Huawei Technologies European Research Institute hillel.avni@huawei.com Trevor Brown University of Toronto tabrown@cs.toronto.edu ABSTRACT Processors

More information

Lock Elision for Protected Objects Using Intel Transactional Synchronization Extensions

Lock Elision for Protected Objects Using Intel Transactional Synchronization Extensions This is a preprint of the article "Lock Elision for Protected Objects Using Intel Transactional Synchronization Extensions" by S. Jeong, S. Yang, and B. Burgstaller. This article was published in the proceedings

More information

Improving the Practicality of Transactional Memory

Improving the Practicality of Transactional Memory Improving the Practicality of Transactional Memory Woongki Baek Electrical Engineering Stanford University Programming Multiprocessors Multiprocessor systems are now everywhere From embedded to datacenter

More information

Lecture 21: Transactional Memory. Topics: consistency model recap, introduction to transactional memory

Lecture 21: Transactional Memory. Topics: consistency model recap, introduction to transactional memory Lecture 21: Transactional Memory Topics: consistency model recap, introduction to transactional memory 1 Example Programs Initially, A = B = 0 P1 P2 A = 1 B = 1 if (B == 0) if (A == 0) critical section

More information

Exploring the Performance and Programmability Design Space of Hardware Transactional Memory

Exploring the Performance and Programmability Design Space of Hardware Transactional Memory Exploring the Performance and Programmability Design Space of Hardware Transactional Memory Mike Dai Wang dai.wang@mail.utoronto.ca Mihai Burcea burceam@eecg.toronto.edu Linghan Li linghan.li@mail.utoronto.ca

More information

A Scalable Lock Manager for Multicores

A Scalable Lock Manager for Multicores A Scalable Lock Manager for Multicores Hyungsoo Jung Hyuck Han Alan Fekete NICTA Samsung Electronics University of Sydney Gernot Heiser NICTA Heon Y. Yeom Seoul National University @University of Sydney

More information