Eliminating Exception Constraints of Java Programs for IA-64

Size: px
Start display at page:

Download "Eliminating Exception Constraints of Java Programs for IA-64"

Transcription

1 Eliminating Exception Constraints of Java Programs for IA-64 < Kazuaki Ishizaki, Tatsushi Inagaki, Hideaki Komatsu,Toshio Nakatani IBM Research, Tokyo Research Laboratory

2 Motivation Enable to perform code motion to exploit instruction level parallelism (ILP) of IA-64 for Java Enable to perform only beneficial speculative code motion Our approach "exception" speculation" " using speculative code motion Perform exception speculation on directed acyclic graph (DAG) Experimental results Summary Goal of the Paper 2

3 A Running Example Java program and bytecode Java program int foo(int a[], int i) { return a[i] + 1; } Bytecode iaload iconst_1 i ireturn PEI (Potentially Excepting Instruction) may throw a Java exception. 3

4 Intermediate Representation Java language introduces many exception checks Java program int foo(int a[], int i) { return a[i] + 1; } Bytecode iaload iconst_1 i ireturn Intermediate Representation (IR) nullcheck a len v1=[a] v2=a,16 shiftl v3=i,2 boundcheck i<v1 ld v4=[v2+v3] v5=v4,1 ret v5 exception check load instruction exception check load instruction 4

5 Problems in Java An exception dependence between exception check and load suppresses code motion Cannot move load instructions across exception checks nullcheck a len v1=[a] v2=a,16 shiftl v3=i,2 exception check Exception dependence load instruction Exception dependence boundcheck i<v1 ld v4=[v2+v3] v5=v4,1 ret v5 exception check Exception dependence load instruction 5

6 Control Speculation in IA-64 An speculative load instruction allows dependant loads to issue a load earlier before the conditional branch is resolved br.cond ld t1=... = t1 ld.s t1= br.cond chk.s t1... = t1 May defer a hardware exception ld moved across br.cond Control Dependence Check a deferred hardware exception Before performing control speculation After performing control speculation Chk.s takes many cycles to redo the load if ld.s t1= defers an exception. 6

7 Our Approach - Exception Speculation Eliminate exception dependence edges from each load Move load instructions across exception checks len.s v1=[a] nullcheck a chk.s v1 v2=a,16 shiftl v3=i,2 ld.s v4=[v2+v3] boundcheck i<v1 chk.s v4 v5=v4,1 ret v5 exception check Exception dependence exception check Exception dependence 7

8 Why We Distinguish Between Control and Exception Speculation Reduce the size of IR by not splitting basic blocks We do not handle exception dependence as control dependence. In our experiments, # of basic blocks can be increased by a factor of four without using exception dependence edges. Estimate the benefit of exception speculation along the exception dependence edge. The code can be moved speculatively only when it is beneficial on the DAG. 8

9 Where We Perform Exception Speculation yte ode Translate bytecode to IR Dataflow optimizations Build DAG Loop optimizations Exception speculation DAG scheduling Register allocation Code generation Native code 9

10 1. Decide whether a load can be moved speculatively When Delay(n) is set only by exception dependence, where instruction. Delay(n) = max m Pred(n,DAG) Delay(m) + 2. Determine a speculative chain Load and the succeeding instructions w/o side effect where n is an 3. Eliminate and connect exception dependence edges Restructure a DAG to issue a load earlier. 4. Create dependence edges Algorithm Outline Latency(m) Maintain edges to preserve the correctness 10

11 Our DAG for Exception Speculation Before eliminating exception dependence edge Java Program int foo(int a[], int i) { return a[i] + 1; } Intermediate Representation nullcheck a len v1 = [a] v2 = a, 16 shiftl v3 = i, 2 boundcheck i < v1 ld v4 = [v2+v3] v5 = v4, 1 ret v5 DAG len 2 nullcheck boundcheck Data dependence 0 a=arg0 0 0 ld i=arg1 shiftl Exception dependence 1 ret 11

12 Decision to Perform Exception Speculation Calculate the maximum possible delay to execute load Perform exception speculation if the time set by exception dependence is the slowest. nullcheck len 0 2 a=arg0 0 boundcheck shiftl 1 1 i=arg1 0 = 0 non-beneficial 0 ld 2 1 ret 12

13 Decision to Perform Exception Speculation Calculate the maximum possible delay to execute load Perform exception speculation if the time set by exception dependence is the slowest. nullcheck len 0 2 a=arg0 0 boundcheck shiftl 1 1 i=arg1 0 ld 2+0>1 beneficial 2 1 ret 13

14 Decision to Perform Exception Speculation Calculate the maximum possible delay to execute load Perform exception speculation if the time set by exception dependence is the slowest. nullcheck len 0 2 a=arg0 0 boundcheck shiftl 1 1 i=arg1 0 ld 2+0>1 beneficial 2 1 ret 14

15 Determine Speculative Chain Determine a chain of instructions that have no side effects as a speculative chain a=arg0 i=arg1 nullcheck len shiftl boundcheck ld ret Speculative chain 15

16 Exception Dependence Edge Eliminate an edge from ld Decompose ld into ld.s and chk.s Connect an edge to a chk.s. A. For executing nullcheck and boundcheck before executing recovery code len a=arg0 nullcheck boundcheck ld.s i=arg1 shiftl ld A Recovery code chk.s ret 16

17 Create edges to chk.s A. Source variables of chk.s B. Variables referenced in the recovery code Dependence Edges Create an edge from chk.s C. To each instruction that uses any variable defined in the recovery code. ld len 2 a=arg0 nullcheck boundcheck B B A 1 ld.s 2 i=arg1 shiftl Recovery code chk.s C 1 ret 17

18 Measurements for: Experimental Results Performance improvement Code size expansion Benchmarks Java Grande Benchmark Version 2.0 SPECjvm98 Environments IBM Developers Kit for IA-64, Java Technology Edition, way 800MHz Itanium with 2GB memory Windows XP Advanced Server 18

19 Speedup over no Exception Speculatio Performance Improvement Exception speculation is effective in programs with many array accesses Improve performance with an average of 2.0% Series LUFact HeapSort Crypt FFT Higher bars are better SOR SparseMatmul compress jess db javac mpegaudio mtrt jack 19

20 mtrt jack Code Size Expansion Increase code size with an average of 2.6%. Series LUFact HeapSort Crypt FFT SOR SparseMatmul compress jess db javac Smaller bars are better 20 mpegaudio Code expansion over no Exception Speculatio

21 Summary Propose a new solution "exception" speculation" Eliminate constraint of a load instruction by exception dependences on a DAG representation. Perform speculative code motion based on cost-benefit analysis. Show the effectiveness using a production Java JIT compiler 21

22 Thanks!! Let's take a coffee break. Just In Time... 22

Eliminating Exception Constraints of Java Programs for IA-64

Eliminating Exception Constraints of Java Programs for IA-64 Journal of Instruction Level Parallelism 5 (2003) 1-22 Submitted 10/02; Accepted 04/03 Eliminating Exception Constraints of Java Programs for IA-64 Kazuaki Ishizaki Tatsushi Inagaki Hideaki Komatsu Toshio

More information

Context Threading: A flexible and efficient dispatch technique for virtual machine interpreters

Context Threading: A flexible and efficient dispatch technique for virtual machine interpreters : A flexible and efficient dispatch technique for virtual machine interpreters Marc Berndl Benjamin Vitale Mathew Zaleski Angela Demke Brown Research supported by IBM CAS, NSERC, CITO 1 Interpreter performance

More information

Trace Compilation. Christian Wimmer September 2009

Trace Compilation. Christian Wimmer  September 2009 Trace Compilation Christian Wimmer cwimmer@uci.edu www.christianwimmer.at September 2009 Department of Computer Science University of California, Irvine Background Institute for System Software Johannes

More information

The VMKit project: Java (and.net) on top of LLVM

The VMKit project: Java (and.net) on top of LLVM The VMKit project: Java (and.net) on top of LLVM Nicolas Geoffray Université Pierre et Marie Curie, France nicolas.geoffray@lip6.fr What is VMKit? Glue between existing VM components LLVM, GNU Classpath,

More information

Mixed Mode Execution with Context Threading

Mixed Mode Execution with Context Threading Mixed Mode Execution with Context Threading Mathew Zaleski, Marc Berndl, Angela Demke Brown University of Toronto {matz,berndl,demke}@cs.toronto.edu (CASCON 2005, Oct 19/2005.) Overview Introduction Background:

More information

YETI. GraduallY Extensible Trace Interpreter VEE Mathew Zaleski, Angela Demke Brown (University of Toronto) Kevin Stoodley (IBM Toronto)

YETI. GraduallY Extensible Trace Interpreter VEE Mathew Zaleski, Angela Demke Brown (University of Toronto) Kevin Stoodley (IBM Toronto) YETI GraduallY Extensible Trace Interpreter Mathew Zaleski, Angela Demke Brown (University of Toronto) Kevin Stoodley (IBM Toronto) VEE 2007 1 Goal Create a VM that is more easily extended with a just

More information

Intelligent Compilation

Intelligent Compilation Intelligent Compilation John Cavazos Department of Computer and Information Sciences University of Delaware Autotuning and Compilers Proposition: Autotuning is a component of an Intelligent Compiler. Code

More information

Limits of Parallel Marking Garbage Collection....how parallel can a GC become?

Limits of Parallel Marking Garbage Collection....how parallel can a GC become? Limits of Parallel Marking Garbage Collection...how parallel can a GC become? Dr. Fridtjof Siebert CTO, aicas ISMM 2008, Tucson, 7. June 2008 Introduction Parallel Hardware is becoming the norm even for

More information

SABLEJIT: A Retargetable Just-In-Time Compiler for a Portable Virtual Machine p. 1

SABLEJIT: A Retargetable Just-In-Time Compiler for a Portable Virtual Machine p. 1 SABLEJIT: A Retargetable Just-In-Time Compiler for a Portable Virtual Machine David Bélanger dbelan2@cs.mcgill.ca Sable Research Group McGill University Montreal, QC January 28, 2004 SABLEJIT: A Retargetable

More information

Swift: A Register-based JIT Compiler for Embedded JVMs

Swift: A Register-based JIT Compiler for Embedded JVMs Swift: A Register-based JIT Compiler for Embedded JVMs Yuan Zhang, Min Yang, Bo Zhou, Zhemin Yang, Weihua Zhang, Binyu Zang Fudan University Eighth Conference on Virtual Execution Environment (VEE 2012)

More information

JOVE. An Optimizing Compiler for Java. Allen Wirfs-Brock Instantiations Inc.

JOVE. An Optimizing Compiler for Java. Allen Wirfs-Brock Instantiations Inc. An Optimizing Compiler for Java Allen Wirfs-Brock Instantiations Inc. Object-Orient Languages Provide a Breakthrough in Programmer Productivity Reusable software components Higher level abstractions Yield

More information

Phase-based Adaptive Recompilation in a JVM

Phase-based Adaptive Recompilation in a JVM Phase-based Adaptive Recompilation in a JVM Dayong Gu Clark Verbrugge Sable Research Group, School of Computer Science McGill University, Montréal, Canada {dgu1, clump}@cs.mcgill.ca April 7, 2008 Sable

More information

Method-Level Phase Behavior in Java Workloads

Method-Level Phase Behavior in Java Workloads Method-Level Phase Behavior in Java Workloads Andy Georges, Dries Buytaert, Lieven Eeckhout and Koen De Bosschere Ghent University Presented by Bruno Dufour dufour@cs.rutgers.edu Rutgers University DCS

More information

The Segregated Binary Trees: Decoupling Memory Manager

The Segregated Binary Trees: Decoupling Memory Manager The Segregated Binary Trees: Decoupling Memory Manager Mehran Rezaei Dept. of Electrical and Computer Engineering University of Alabama in Huntsville Ron K. Cytron Department of Computer Science Washington

More information

Java without the Coffee Breaks: A Nonintrusive Multiprocessor Garbage Collector

Java without the Coffee Breaks: A Nonintrusive Multiprocessor Garbage Collector Java without the Coffee Breaks: A Nonintrusive Multiprocessor Garbage Collector David F. Bacon IBM T.J. Watson Research Center Joint work with C.R. Attanasio, Han Lee, V.T. Rajan, and Steve Smith ACM Conference

More information

Just-In-Time Compilers & Runtime Optimizers

Just-In-Time Compilers & Runtime Optimizers COMP 412 FALL 2017 Just-In-Time Compilers & Runtime Optimizers Comp 412 source code IR Front End Optimizer Back End IR target code Copyright 2017, Keith D. Cooper & Linda Torczon, all rights reserved.

More information

Evolution of a Java just-in-time compiler for IA-32 platforms

Evolution of a Java just-in-time compiler for IA-32 platforms Evolution of a Java just-in-time compiler for IA-32 platforms Java has gained widespread popularity in the industry, and an efficient Java virtual machine (JVM ) and just-in-time (JIT) compiler are crucial

More information

A Quantitative Evaluation of the Contribution of Native Code to Java Workloads

A Quantitative Evaluation of the Contribution of Native Code to Java Workloads A Quantitative Evaluation of the Contribution of Native Code to Java Workloads Walter Binder University of Lugano Switzerland walter.binder@unisi.ch Jarle Hulaas, Philippe Moret EPFL Switzerland {jarle.hulaas,philippe.moret}@epfl.ch

More information

A Trace-based Java JIT Compiler Retrofitted from a Method-based Compiler

A Trace-based Java JIT Compiler Retrofitted from a Method-based Compiler A Trace-based Java JIT Compiler Retrofitted from a Method-based Compiler Hiroshi Inoue, Hiroshige Hayashizaki, Peng Wu and Toshio Nakatani IBM Research Tokyo IBM Research T.J. Watson Research Center April

More information

New Challenges in Microarchitecture and Compiler Design

New Challenges in Microarchitecture and Compiler Design New Challenges in Microarchitecture and Compiler Design Contributors: Jesse Fang Tin-Fook Ngai Fred Pollack Intel Fellow Director of Microprocessor Research Labs Intel Corporation fred.pollack@intel.com

More information

Jazz: A Tool for Demand-Driven Structural Testing

Jazz: A Tool for Demand-Driven Structural Testing Jazz: A Tool for Demand-Driven Structural Testing J. Misurda, J. A. Clause, J. L. Reed, P. Gandra, B. R. Childers, and M. L. Soffa Department of Computer Science University of Pittsburgh Pittsburgh, Pennsylvania

More information

UNIT 8 1. Explain in detail the hardware support for preserving exception behavior during Speculation.

UNIT 8 1. Explain in detail the hardware support for preserving exception behavior during Speculation. UNIT 8 1. Explain in detail the hardware support for preserving exception behavior during Speculation. July 14) (June 2013) (June 2015)(Jan 2016)(June 2016) H/W Support : Conditional Execution Also known

More information

Approximation of the Worst-Case Execution Time Using Structural Analysis. Matteo Corti and Thomas Gross Zürich

Approximation of the Worst-Case Execution Time Using Structural Analysis. Matteo Corti and Thomas Gross Zürich Approximation of the Worst-Case Execution Time Using Structural Analysis Matteo Corti and Thomas Gross Zürich Goal Worst-case execution time estimation of softreal time Java applications. We focus on semantic

More information

Using Complete System Simulation to Characterize SPECjvm98 Benchmarks

Using Complete System Simulation to Characterize SPECjvm98 Benchmarks Using Complete System Simulation to Characterize SPECjvm98 Benchmarks Tao Li, Lizy Kurian John, Vijaykrishnan Narayanan, Anand Sivasubramaniam, Jyotsna Sabarinathan, and Anupama Murthy Laboratory for Computer

More information

Proceedings of the 2 nd Java TM Virtual Machine Research and Technology Symposium (JVM '02)

Proceedings of the 2 nd Java TM Virtual Machine Research and Technology Symposium (JVM '02) USENIX Association Proceedings of the 2 nd Java TM Virtual Machine Research and Technology Symposium (JVM '02) San Francisco, California, USA August 1-2, 2002 THE ADVANCED COMPUTING SYSTEMS ASSOCIATION

More information

Identifying the Sources of Cache Misses in Java Programs Without Relying on Hardware Counters. Hiroshi Inoue and Toshio Nakatani IBM Research - Tokyo

Identifying the Sources of Cache Misses in Java Programs Without Relying on Hardware Counters. Hiroshi Inoue and Toshio Nakatani IBM Research - Tokyo Identifying the Sources of Cache Misses in Java Programs Without Relying on Hardware Counters Hiroshi Inoue and Toshio Nakatani IBM Research - Tokyo June 15, 2012 ISMM 2012 at Beijing, China Motivation

More information

Experiences with Multi-threading and Dynamic Class Loading in a Java Just-In-Time Compiler

Experiences with Multi-threading and Dynamic Class Loading in a Java Just-In-Time Compiler , Compilation Technology Experiences with Multi-threading and Dynamic Class Loading in a Java Just-In-Time Compiler Daryl Maier, Pramod Ramarao, Mark Stoodley, Vijay Sundaresan TestaRossa JIT compiler

More information

Design, Implementation, and Evaluation of a Compilation Server

Design, Implementation, and Evaluation of a Compilation Server Design, Implementation, and Evaluation of a Compilation Server Technical Report CU--978-04 HAN B. LEE University of Colorado AMER DIWAN University of Colorado and J. ELIOT B. MOSS University of Massachusetts

More information

Demand-Driven Structural Testing with Dynamic Instrumentation

Demand-Driven Structural Testing with Dynamic Instrumentation Demand-Driven Structural Testing with Dynamic Instrumentation Jonathan Misurda, James A. Clause, Juliya L. Reed, Bruce R. Childers, and Mary Lou Soffa Department of Computer Science University of Pittsburgh

More information

Outline. Speculative Register Promotion Using Advanced Load Address Table (ALAT) Motivation. Motivation Example. Motivation

Outline. Speculative Register Promotion Using Advanced Load Address Table (ALAT) Motivation. Motivation Example. Motivation Speculative Register Promotion Using Advanced Load Address Table (ALAT Jin Lin, Tong Chen, Wei-Chung Hsu, Pen-Chung Yew http://www.cs.umn.edu/agassiz Motivation Outline Scheme of speculative register promotion

More information

Trace-based JIT Compilation

Trace-based JIT Compilation Trace-based JIT Compilation Hiroshi Inoue, IBM Research - Tokyo 1 Trace JIT vs. Method JIT https://twitter.com/yukihiro_matz/status/533775624486133762 2 Background: Trace-based Compilation Using a Trace,

More information

Soot A Java Bytecode Optimization Framework. Sable Research Group School of Computer Science McGill University

Soot A Java Bytecode Optimization Framework. Sable Research Group School of Computer Science McGill University Soot A Java Bytecode Optimization Framework Sable Research Group School of Computer Science McGill University Goal Provide a Java framework for optimizing and annotating bytecode provide a set of API s

More information

A Quantitative Analysis of Java Bytecode Sequences

A Quantitative Analysis of Java Bytecode Sequences A Quantitative Analysis of Java Bytecode Sequences Ben Stephenson Wade Holst Department of Computer Science, University of Western Ontario, London, Ontario, Canada 1 Introduction A variety of studies have

More information

Portable Resource Control in Java The J-SEAL2 Approach

Portable Resource Control in Java The J-SEAL2 Approach Portable Resource Control in Java The J-SEAL2 Approach Walter Binder w.binder@coco.co.at CoCo Software Engineering GmbH Austria Jarle Hulaas Jarle.Hulaas@cui.unige.ch Alex Villazón Alex.Villazon@cui.unige.ch

More information

Portable Resource Control in Java: Application to Mobile Agent Security

Portable Resource Control in Java: Application to Mobile Agent Security Portable Resource Control in Java: Application to Mobile Agent Security Walter Binder CoCo Software Engineering GmbH Austria Jarle Hulaas, Alex Villazón, Rory Vidal University of Geneva Switzerland Requirements

More information

Optimized Interval Splitting in a Linear Scan Register Allocator

Optimized Interval Splitting in a Linear Scan Register Allocator Optimized Interval Splitting in a Linear Scan Register Allocator ABSTRACT Christian Wimmer Institute for System Software Johannes Kepler University Linz Linz, Austria wimmer@ssw.jku.at We present an optimized

More information

Virtual Machine Showdown: Stack Versus Registers

Virtual Machine Showdown: Stack Versus Registers Virtual Machine Showdown: Stack Versus Registers 21 YUNHE SHI 1 and KEVIN CASEY Trinity College Dublin M. ANTON ERTL Technische Universität Wien and DAVID GREGG Trinity College Dublin Virtual machines

More information

A Study of Cache Performance in Java Virtual Machines

A Study of Cache Performance in Java Virtual Machines A Study of Cache Performance in Java Virtual Machines by Anand Sunder Rajan, B.E., M.Sc Report Presented to the Faculty of the Graduate School of The University of Texas at Austin in Partial Fulfillment

More information

Proceedings of the 2 nd Java TM Virtual Machine Research and Technology Symposium (JVM '02)

Proceedings of the 2 nd Java TM Virtual Machine Research and Technology Symposium (JVM '02) USENIX Association Proceedings of the 2 nd Java TM Virtual Machine Research and Technology Symposium (JVM '02) San Francisco, California, USA August 1-2, 2002 THE ADVANCED COMPUTING SYSTEMS ASSOCIATION

More information

UCI. Intel Itanium Line Processor Efforts. Xiaobin Li. PASCAL EECS Dept. UC, Irvine. University of California, Irvine

UCI. Intel Itanium Line Processor Efforts. Xiaobin Li. PASCAL EECS Dept. UC, Irvine. University of California, Irvine Intel Itanium Line Processor Efforts Xiaobin Li PASCAL EECS Dept. UC, Irvine Outline Intel Itanium Line Roadmap IA-64 Architecture Itanium Processor Microarchitecture Case Study of Exploiting TLP at VLIW

More information

Getting CPI under 1: Outline

Getting CPI under 1: Outline CMSC 411 Computer Systems Architecture Lecture 12 Instruction Level Parallelism 5 (Improving CPI) Getting CPI under 1: Outline More ILP VLIW branch target buffer return address predictor superscalar more

More information

Lecture 6: Static ILP

Lecture 6: Static ILP Lecture 6: Static ILP Topics: loop analysis, SW pipelining, predication, speculation (Section 2.2, Appendix G) Assignment 2 posted; due in a week 1 Loop Dependences If a loop only has dependences within

More information

Reducing the Overhead of Dynamic Compilation

Reducing the Overhead of Dynamic Compilation Reducing the Overhead of Dynamic Compilation Chandra Krintz David Grove Derek Lieber Vivek Sarkar Brad Calder Department of Computer Science and Engineering, University of California, San Diego IBM T.

More information

Speculative Optimization Using Hardware-Monitored Guarded Regions for Java Virtual Machines

Speculative Optimization Using Hardware-Monitored Guarded Regions for Java Virtual Machines Speculative Optimization Using Hardware-Monitored Guarded Regions for Java Virtual Machines Lixin Su and Mikko H. Lipasti Department of Electrical and Computer Engineering University of Wisconsin - Madison

More information

Exploiting Dataflow to Extract Java Instruction Level Parallelism on a Tag-based Multi-Issue Semi In-Order (TMSI) Processor

Exploiting Dataflow to Extract Java Instruction Level Parallelism on a Tag-based Multi-Issue Semi In-Order (TMSI) Processor Exploiting Dataflow to Extract Java Instruction Level Parallelism on a Tag-based Multi-Issue Semi In-Order (TMSI) Processor Hai-Chen Wang, Chung-Kwong Yuen Dept. of Computer Science School of Computing

More information

Compiler construction 2009

Compiler construction 2009 Compiler construction 2009 Lecture 3 JVM and optimization. A first look at optimization: Peephole optimization. A simple example A Java class public class A { public static int f (int x) { int r = 3; int

More information

Towards Parallel, Scalable VM Services

Towards Parallel, Scalable VM Services Towards Parallel, Scalable VM Services Kathryn S McKinley The University of Texas at Austin Kathryn McKinley Towards Parallel, Scalable VM Services 1 20 th Century Simplistic Hardware View Faster Processors

More information

Effective Inline-Threaded Interpretation of Java Bytecode Using Preparation Sequences

Effective Inline-Threaded Interpretation of Java Bytecode Using Preparation Sequences Effective Inline-Threaded Interpretation of Java Bytecode Using Preparation Sequences Etienne Gagnon 1 and Laurie Hendren 2 1 Sable Research Group Université du Québec à Montréal, etienne.gagnon@uqam.ca

More information

Generic Software pipelining at the Assembly Level

Generic Software pipelining at the Assembly Level Generic Software pipelining at the Assembly Level Markus Pister pister@cs.uni-sb.de Daniel Kästner kaestner@absint.com Embedded Systems (ES) 2/23 Embedded Systems (ES) are widely used Many systems of daily

More information

Dynamic Selection of Application-Specific Garbage Collectors

Dynamic Selection of Application-Specific Garbage Collectors Dynamic Selection of Application-Specific Garbage Collectors Sunil V. Soman Chandra Krintz University of California, Santa Barbara David F. Bacon IBM T.J. Watson Research Center Background VMs/managed

More information

Towards future method hotness prediction for Virtual Machines

Towards future method hotness prediction for Virtual Machines Towards future method hotness prediction for Virtual Machines Manjiri A. Namjoshi Submitted to the Department of Electrical Engineering & Computer Science and the Faculty of the Graduate School of the

More information

About the Authors... iii Introduction... xvii. Chapter 1: System Software... 1

About the Authors... iii Introduction... xvii. Chapter 1: System Software... 1 Table of Contents About the Authors... iii Introduction... xvii Chapter 1: System Software... 1 1.1 Concept of System Software... 2 Types of Software Programs... 2 Software Programs and the Computing Machine...

More information

Debunking Dynamic Optimization Myths

Debunking Dynamic Optimization Myths Debunking Dynamic Optimization Myths Michael Hind (Material borrowed from 3 hr PLDI 04 Tutorial by Stephen Fink, David Grove, and Michael Hind. See those slides for more details.) September 15, 2004 Future

More information

COMP 520 Fall 2009 Virtual machines (1) Virtual machines

COMP 520 Fall 2009 Virtual machines (1) Virtual machines COMP 520 Fall 2009 Virtual machines (1) Virtual machines COMP 520 Fall 2009 Virtual machines (2) Compilation and execution modes of Virtual machines: Abstract syntax trees Interpreter AOT-compile Virtual

More information

HY425 Lecture 09: Software to exploit ILP

HY425 Lecture 09: Software to exploit ILP HY425 Lecture 09: Software to exploit ILP Dimitrios S. Nikolopoulos University of Crete and FORTH-ICS November 4, 2010 ILP techniques Hardware Dimitrios S. Nikolopoulos HY425 Lecture 09: Software to exploit

More information

Automatic Object Colocation Based on Read Barriers

Automatic Object Colocation Based on Read Barriers See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/221644818 Automatic Object Colocation Based on Read Barriers Conference Paper September 2006

More information

BLP: Applying ILP Techniques to Bytecode Execution

BLP: Applying ILP Techniques to Bytecode Execution BLP: Applying ILP Techniques to Bytecode Execution Kevin Scott and Kevin Skadron Dept. of Computer Science University of Virginia Charlottesville, VA 22904 fjks6b,skadrong@cs.virginia.edu Abstract The

More information

HY425 Lecture 09: Software to exploit ILP

HY425 Lecture 09: Software to exploit ILP HY425 Lecture 09: Software to exploit ILP Dimitrios S. Nikolopoulos University of Crete and FORTH-ICS November 4, 2010 Dimitrios S. Nikolopoulos HY425 Lecture 09: Software to exploit ILP 1 / 44 ILP techniques

More information

Improving Virtual Machine Performance Using a Cross-Run Profile Repository

Improving Virtual Machine Performance Using a Cross-Run Profile Repository Improving Virtual Machine Performance Using a Cross-Run Profile Repository Matthew Arnold Adam Welc V.T. Rajan IBM T.J. Watson Research Center {marnold,vtrajan}@us.ibm.com Purdue University welc@cs.purdue.edu

More information

Pointer Analysis in the Presence of Dynamic Class Loading

Pointer Analysis in the Presence of Dynamic Class Loading Pointer Analysis in the Presence of Dynamic Class Loading Martin Hirzel, Amer Diwan University of Colorado at Boulder Michael Hind IBM T.J. Watson Research Center 1 Pointer analysis motivation Code a =

More information

Online Subpath Profiling

Online Subpath Profiling Online Subpath Profiling by David Oren A THESIS Submitted in Partial Fulfillment of the Requirements of the Degree of Master of Science in Computer Science June 2002 Advisory Committee: Yossi Matias, Thesis

More information

Proceedings of the Third Virtual Machine Research and Technology Symposium

Proceedings of the Third Virtual Machine Research and Technology Symposium USENIX Association Proceedings of the Third Virtual Machine Research and Technology Symposium San Jose, CA, USA May 6 7, 2004 2004 by The USENIX Association All Rights Reserved For more information about

More information

What is a compiler? Xiaokang Qiu Purdue University. August 21, 2017 ECE 573

What is a compiler? Xiaokang Qiu Purdue University. August 21, 2017 ECE 573 What is a compiler? Xiaokang Qiu Purdue University ECE 573 August 21, 2017 What is a compiler? What is a compiler? Traditionally: Program that analyzes and translates from a high level language (e.g.,

More information

A Study of Exception Handling and Its Dynamic Optimization in Java

A Study of Exception Handling and Its Dynamic Optimization in Java A Study of Exception Handling and Its Dynamic Optimization in Java Takeshi Ogasawara takeshi@jp.ibm.com Hideaki Komatsu komatsu@jp.ibm.com Tokyo Research Laboratory, IBM Japan 1623 14 Shimotsuruma, Yamato

More information

Understanding Parallelism-Inhibiting Dependences in Sequential Java

Understanding Parallelism-Inhibiting Dependences in Sequential Java Understanding Parallelism-Inhibiting Dependences in Sequential Java Programs Atanas(Nasko) Rountev Kevin Van Valkenburgh Dacong Yan P. Sadayappan Ohio State University Overview and Motivation Multi-core

More information

Control Flow Analysis

Control Flow Analysis Control Flow Analysis Last time Undergraduate compilers in a day Today Assignment 0 due Control-flow analysis Building basic blocks Building control-flow graphs Loops January 28, 2015 Control Flow Analysis

More information

The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism

The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism Shiwen Hu, Ravi Bhargava and Lizy Kurian John The University of Texas at Austin {hushiwen, ravib, ljohn@ece.utexas.edu

More information

On the Effectiveness of GC in Java

On the Effectiveness of GC in Java On the Effectiveness of GC in Java Ran Shaham Tel-Aviv University and IBM aifa Research aboratory rans@math.tau.ac.il Elliot K. Kolodner IBM aifa Research aboratory kolodner@il.ibm.com Mooly Sagiv Tel-Aviv

More information

Reducing the Overhead of Dynamic Compilation

Reducing the Overhead of Dynamic Compilation Reducing the Overhead of Dynamic Compilation Chandra Krintz y David Grove z Derek Lieber z Vivek Sarkar z Brad Calder y y Department of Computer Science and Engineering, University of California, San Diego

More information

Software Speculative Multithreading for Java

Software Speculative Multithreading for Java Software Speculative Multithreading for Java Christopher J.F. Pickett and Clark Verbrugge School of Computer Science, McGill University {cpicke,clump}@sable.mcgill.ca Allan Kielstra IBM Toronto Lab kielstra@ca.ibm.com

More information

The IA-64 Architecture. Salient Points

The IA-64 Architecture. Salient Points The IA-64 Architecture Department of Electrical Engineering at College Park OUTLINE: Architecture overview Background Architecture Specifics UNIVERSITY OF MARYLAND AT COLLEGE PARK Salient Points 128 Registers

More information

VLIW/EPIC: Statically Scheduled ILP

VLIW/EPIC: Statically Scheduled ILP 6.823, L21-1 VLIW/EPIC: Statically Scheduled ILP Computer Science & Artificial Intelligence Laboratory Massachusetts Institute of Technology Based on the material prepared by Krste Asanovic and Arvind

More information

H.-S. Oh, B.-J. Kim, H.-K. Choi, S.-M. Moon. School of Electrical Engineering and Computer Science Seoul National University, Korea

H.-S. Oh, B.-J. Kim, H.-K. Choi, S.-M. Moon. School of Electrical Engineering and Computer Science Seoul National University, Korea H.-S. Oh, B.-J. Kim, H.-K. Choi, S.-M. Moon School of Electrical Engineering and Computer Science Seoul National University, Korea Android apps are programmed using Java Android uses DVM instead of JVM

More information

Jazz2: A Flexible and Extensible Framework for Structural Testing in a Java VM

Jazz2: A Flexible and Extensible Framework for Structural Testing in a Java VM Jazz2: A Flexible and Extensible Framework for Structural Testing in a Java VM Jonathan Misurda Department of Computer Science University of Pittsburgh Pittsburgh, PA 15260 jmisurda@cs.pitt.edu Bruce R.

More information

Running class Timing on Java HotSpot VM, 1

Running class Timing on Java HotSpot VM, 1 Compiler construction 2009 Lecture 3. A first look at optimization: Peephole optimization. A simple example A Java class public class A { public static int f (int x) { int r = 3; int s = r + 5; return

More information

The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism. Abstract

The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism. Abstract The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism Shiwen Hu, Ravi Bhargava and Lizy Kurian John Laboratory for Computer Architecture Department of Electrical and Computer

More information

Array Bounds Check Elimination Utilizing a Page Protection Mechanism

Array Bounds Check Elimination Utilizing a Page Protection Mechanism RT0550 Computer Science 6 pages Research Report October 14, 2003 Array Bounds Check Elimination Utilizing a Page Protection Mechanism Motohiro Kawahito IBM Research, Tokyo Research Laboratory IBM Japan,

More information

Points-to Analysis for Java Using Annotated Constraints*

Points-to Analysis for Java Using Annotated Constraints* Points-to Analysis for Java Using Annotated Constraints* Dr. Barbara G. Ryder Rutgers University http://www.cs.rutgers.edu/~ryder http://prolangs.rutgers.edu/ *Joint research with Atanas Rountev and Ana

More information

Processor (IV) - advanced ILP. Hwansoo Han

Processor (IV) - advanced ILP. Hwansoo Han Processor (IV) - advanced ILP Hwansoo Han Instruction-Level Parallelism (ILP) Pipelining: executing multiple instructions in parallel To increase ILP Deeper pipeline Less work per stage shorter clock cycle

More information

Lecture 9 Dynamic Compilation

Lecture 9 Dynamic Compilation Lecture 9 Dynamic Compilation I. Motivation & Background II. Overview III. Compilation Policy IV. Partial Method Compilation V. Partial Dead Code Elimination VI. Escape Analysis VII. Results Partial Method

More information

Design and Evaluation of Dynamic Optimizations for a Java Just-In-Time Compiler Java Just-In-Time

Design and Evaluation of Dynamic Optimizations for a Java Just-In-Time Compiler Java Just-In-Time Design and Evaluation of Dynamic Optimizations for a Java Just-In-Time Compiler Java Just-In-Time 2007 7 Toshio Suganuma Design and Evaluation of Dynamic Optimizations for a Java Just-In-Time Compiler

More information

Just-In-Time Compilation

Just-In-Time Compilation Just-In-Time Compilation Thiemo Bucciarelli Institute for Software Engineering and Programming Languages 18. Januar 2016 T. Bucciarelli 18. Januar 2016 1/25 Agenda Definitions Just-In-Time Compilation

More information

A Preliminary Workload Analysis of SPECjvm2008

A Preliminary Workload Analysis of SPECjvm2008 A Preliminary Workload Analysis of SPECjvm2008 Hitoshi Oi The University of Aizu January 24, 2009 International Conference on Computer Engineering and Technology 2009 Outline Introduction: SPECjvm2008

More information

What is a compiler? var a var b mov 3 a mov 4 r1 cmpi a r1 jge l_e mov 2 b jmp l_d l_e: mov 3 b l_d: ;done

What is a compiler? var a var b mov 3 a mov 4 r1 cmpi a r1 jge l_e mov 2 b jmp l_d l_e: mov 3 b l_d: ;done What is a compiler? What is a compiler? Traditionally: Program that analyzes and translates from a high level language (e.g., C++) to low-level assembly language that can be executed by hardware int a,

More information

Building a Compiler with. JoeQ. Outline of this lecture. Building a compiler: what pieces we need? AKA, how to solve Homework 2

Building a Compiler with. JoeQ. Outline of this lecture. Building a compiler: what pieces we need? AKA, how to solve Homework 2 Building a Compiler with JoeQ AKA, how to solve Homework 2 Outline of this lecture Building a compiler: what pieces we need? An effective IR for Java joeq Homework hints How to Build a Compiler 1. Choose

More information

Eliminating External Fragmentation in a Non-Moving Garbage Collector for Java

Eliminating External Fragmentation in a Non-Moving Garbage Collector for Java Eliminating External Fragmentation in a Non-Moving Garbage Collector for Java Fridtjof Siebert IPD, Universität Karlsruhe Oberfeldstr. 4B 7649 Karlsruhe, Germany siebert@jamaica-systems.de ABSTRACT Fragmentation

More information

Efficient Exception Handling in Java Bytecode-to-C Ahead-of-Time Compiler for Embedded Systems

Efficient Exception Handling in Java Bytecode-to-C Ahead-of-Time Compiler for Embedded Systems Efficient Exception Handling in Java Bytecode-to-C Ahead-of-Time Compiler for Embedded Systems Dong-Heon Jung JongKuk Park Sung-Hwan Bae Jaemok Lee Soo-Mook Moon School of Electrical Engineering and Computer

More information

CS377P Programming for Performance Single Thread Performance Out-of-order Superscalar Pipelines

CS377P Programming for Performance Single Thread Performance Out-of-order Superscalar Pipelines CS377P Programming for Performance Single Thread Performance Out-of-order Superscalar Pipelines Sreepathi Pai UTCS September 14, 2015 Outline 1 Introduction 2 Out-of-order Scheduling 3 The Intel Haswell

More information

Java Performance Analysis for Scientific Computing

Java Performance Analysis for Scientific Computing Java Performance Analysis for Scientific Computing Roldan Pozo Leader, Mathematical Software Group National Institute of Standards and Technology USA UKHEC: Java for High End Computing Nov. 20th, 2000

More information

Background: Pipelining Basics. Instruction Scheduling. Pipelining Details. Idealized Instruction Data-Path. Last week Register allocation

Background: Pipelining Basics. Instruction Scheduling. Pipelining Details. Idealized Instruction Data-Path. Last week Register allocation Instruction Scheduling Last week Register allocation Background: Pipelining Basics Idea Begin executing an instruction before completing the previous one Today Instruction scheduling The problem: Pipelined

More information

Achieving Out-of-Order Performance with Almost In-Order Complexity

Achieving Out-of-Order Performance with Almost In-Order Complexity Achieving Out-of-Order Performance with Almost In-Order Complexity Comprehensive Examination Part II By Raj Parihar Background Info: About the Paper Title Achieving Out-of-Order Performance with Almost

More information

Advanced Computer Architecture

Advanced Computer Architecture ECE 563 Advanced Computer Architecture Fall 2010 Lecture 6: VLIW 563 L06.1 Fall 2010 Little s Law Number of Instructions in the pipeline (parallelism) = Throughput * Latency or N T L Throughput per Cycle

More information

Joeq Analysis Framework. CS 243, Winter

Joeq Analysis Framework. CS 243, Winter Joeq Analysis Framework CS 243, Winter 2009-2010 Joeq Background Compiler backend for analyzing and optimizing Java bytecode Developed by John Whaley and others Implemented in Java Research project infrastructure:

More information

Instrumentation in Software Dynamic Translators for Self-Managed Systems

Instrumentation in Software Dynamic Translators for Self-Managed Systems Instrumentation in Software Dynamic Translators for Self-Managed Systems Naveen Kumar, Jonathan Misurda, Bruce R. Childers Department of Computer Science University of Pittsburgh Pittsburgh, PA 15260 {naveen,jmisurda,childers}@cs.pitt.edu

More information

Example. Selecting Instructions to Issue

Example. Selecting Instructions to Issue Selecting Instructions to Issue From the Ready Set (instructions with all dependencies satisfied, and which will not stall) use the following priority rules: 1. Instructions in block A and blocks equivalent

More information

The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism

The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism Shiwen Hu, Ravi Bhargava and Lizy Kurian John Laboratory for Computer Architecture Department of Electrical and Computer

More information

Java Memory Allocation with Lazy Worst Fit for Small Objects

Java Memory Allocation with Lazy Worst Fit for Small Objects The Computer Journal Advance Access published May 13, 2005 The Author 2005. Published by Oxford University Press on behalf of The British Computer Society. All rights reserved. For Permissions, please

More information

Using Scratchpad to Exploit Object Locality in Java

Using Scratchpad to Exploit Object Locality in Java Using Scratchpad to Exploit Object Locality in Java Carl S. Lebsack and J. Morris Chang Department of Electrical and Computer Engineering Iowa State University Ames, IA 50011 lebsack, morris@iastate.edu

More information

IA-64 Compiler Technology

IA-64 Compiler Technology IA-64 Compiler Technology David Sehr, Jay Bharadwaj, Jim Pierce, Priti Shrivastav (speaker), Carole Dulong Microcomputer Software Lab Page-1 Introduction IA-32 compiler optimizations Profile Guidance (PGOPTI)

More information

Understanding the IA-64 Architecture

Understanding the IA-64 Architecture Understanding the IA-64 Architecture Gautam Doshi Senior Architect IA-64 Processor Division Corporation August 31, 99 - September 2, 99 Agenda Today s Architecture Challenges IA-64 Architecture Performance

More information