Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Size: px
Start display at page:

Download "Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery"

Transcription

1 Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 1

2 Many use cases: You Probably Know + High performance, low energy consumption 2

3 Flash Controller NAND Flash Memory Challenges Requires erase before program (write) High raw bit error rate CPU Raw Flash Memory Chips ECC Controller 3

4 Raw bit error rate (RBER) ~2000 ~3000 Limited Flash Memory Lifetime Goal: Extend flash memory lifetime at low cost P/E Cycle Lifetime ECC-correctable RBER Program/Erase (P/E) Cycles (or Writes Per Cell) 4

5 Retention Loss Charge leakage over time 0 0 Flash cell Retention error 1 One dominant source of flash memory errors [DATE 12, ICCD 12] 5

6 Before I show you how we extend flash lifetime NAND Flash 101 6

7 Threshold Voltage (V th ) Flash cell Flash cell 1 0 Normalized V th 7

8 Threshold Voltage (V th ) Distribution Probability Density Function (PDF) 1 0 Normalized V th 8

9 Read Reference Voltage (V ref ) DF V ref 1 0 Normalized V th 9

10 Multi-Level Cell (MLC) DF Erased (11) ER-P1 V ref P1 (10) P1-P2 V ref P2 (00) P2-P3 V ref P3 (01) Normalized V th 10

11 Threshold Voltage Reduces Over Time Before After some retention retention loss: loss: DF P1 (10) P2 (00) P3 (01) Normalized V th 11

12 Fixed Read Reference Voltage Becomes Suboptimal Before After some retention retention loss: loss: DF P1 (10) P1-P2 V ref P2 (00) P2-P3 V ref P3 (01) Raw bit errors Normalized V th 12

13 Optimal Read Reference Voltage (OPT) DF P1 (10) P1-P2 V ref P2 (00) P2-P3 V ref P1-P2 OPT P2-P3 OPT After some retention loss: P3 (01) Minimal raw bit errors Normalized V th 13

14 Goal 1: Design a low-cost mechanism that dynamically finds the optimal read reference voltage 14

15 Retention Failure After significant some retention retention loss: loss: DF P1 (10) P1-P2 V ref P2 (00) P2-P3 V ref P3 (01) Uncorrectable Correctable errors Normalized V th 15

16 Goal 1: Design a low-cost mechanism that dynamically finds the optimal read reference voltage Goal 2: Design an offline mechanism to recover data after detecting uncorrectable errors 16

17 To understand the effects of retention loss: - Characterize retention loss using real chips 17

18 To understand the effects of retention loss: - Characterize retention loss using real chips Goal 1: Design a low-cost mechanism that dynamically finds the optimal read reference voltage Goal 2: Design an offline mechanism to recover data after detecting uncorrectable errors 18

19 Characterization Methodology FPGA-based flash memory testing platform [Cai+,FCCM 11] 19

20 Characterization Methodology FPGA-based flash memory testing platform Real 20- to 24-nm MLC NAND flash chips 0- to 40-day worth of retention loss Room temperature (20⁰C) 0 to 50k P/E Cycles 20

21 Characterize the effects of retention loss 1. Threshold Voltage Distribution 2. Optimal Read Reference Voltage 3. RBER and P/E Cycle Lifetime 21

22 PDF 1. Threshold Voltage (V th ) Distribution P1 P2 P3 Normalized V th 22

23 1. Threshold Voltage (V th ) Distribution 40-day 0-day 40-day 0-day P1 P2 P3 Finding: Cell s threshold voltage decreases over time 23

24 2. Optimal Read Reference Voltage (OPT) 40-day OPT 0-day OPT 40-day OPT 0-day OPT P1 P2 P3 Finding: OPT decreases over time 24

25 RBER 3. RBER and P/E Cycle Lifetime P/E Cycles 25

26 Nominal Lifetime Extended Lifetime 3. RBER and P/E Cycle Lifetime Reading data with 7-day worth of retention loss. V ref closer to actual OPT Actual OPT ECC-correctable RBER Finding: Using actual OPT achieves the longest lifetime 26

27 Characterization Summary Due to retention loss Cell s threshold voltage (V th ) decreases over time Optimal read reference voltage (OPT) decreases over time Using the actual OPT for reading Achieves the longest lifetime 27

28 To understand the effects of retention loss: - Characterize retention loss using real chips Goal 1: Design a low-cost mechanism that dynamically finds the optimal read reference voltage Goal 2: Design an offline mechanism to recover data after detecting uncorrectable errors 28

29 Naïve Solution: Sweeping V ref Key idea: Read the data multiple times with different read reference voltages until the raw bit errors are correctable by ECC Finds the optimal read reference voltage Requires many read-retries higher read latency 29

30 Comparison of Flash Read Techniques Flash Read Techniques Lifetime (P/E Cycle) Performance (Read Latency) Fixed V ref Sweeping V ref Our Goal 30

31 Observations 1. The optimal read reference voltage gradually decreases over time Key idea: Record the old OPT as a prediction (V pred ) of the actual OPT Benefit: Close to actual OPT Fewer read retries 2. The amount of retention loss is similar across pages within a flash block Key idea: Record only one V pred for each block Benefit: Small storage overhead (768KB out of 512GB) 31

32 Retention Optimized Reading (ROR) Components: 1. Online pre-optimization algorithm Periodically records a V pred for each block 2. Improved read-retry technique Utilizes the recorded V pred to minimize read-retry count 32

33 1. Online Pre-Optimization Algorithm Triggered periodically (e.g., per day) Find and record an OPT as per-block V pred Performed in background Small storage overhead DF New V pred Old V pred Normalized V th 33

34 2. Improved Read-Retry Technique Performed as normal read V pred already close to actual OPT Decrease V ref if V pred fails, and retry DF OPT V pred Very close Normalized V th 34

35 Retention Optimized Reading: Summary Flash Read Techniques Lifetime (P/E Cycle) Performance (Read Latency) Fixed V ref Sweeping V ref 64% ROR Nom. Life: 2.4% 64% Ext. Life: 70.4% 35

36 To understand the effects of retention loss: - Characterize retention loss using real chips Goal 1: Design a low-cost mechanism that dynamically finds the optimal read reference voltage Goal 2: Design an offline mechanism to recover data after detecting uncorrectable errors 36

37 Retention Failure After some significant retention retention loss: loss: DF P1 (10) P1-P2 V ref P2 (00) P2-P3 V ref P3 (01) Uncorrectable Correctable errors Normalized V th 37

38 Leakage Speed Variation DF S low-leaking cell F ast-leaking cell Normalized V th 38

39 Initially, Right After Programming DF P2 P3 S S F F F S F S Normalized V th 39

40 After Some Retention Loss DF Fast-leaking cells have lower V th P2 P3 Slow-leaking cells have higher V th S S F F F S F S Normalized V th 40

41 Eventually: Retention Failure DF P2 OPT P3 S S F F F F S S Normalized V th 41

42 Retention Failure Recovery (RFR) Key idea: Guess original state of the cell from its leakage speed property Three steps 1. Identify risky cells 2. Identify fast-/slow-leaking cells 3. Guess original states 42

43 OPT σ OPT OPT+σ 1. Identify Risky Cells DF Risky + S = P2 cells + F = P3 Key Formula S F F S Normalized V th 43

44 OPT σ OPT OPT+σ 2. Identifying Fast- vs. Slow-Leaking Cells DF Risky + S = P2 cells + F = P3 Key Formula???? Normalized V th 44

45 OPT σ OPT OPT+σ 2. Identifying Fast- vs. Slow-Leaking Cells DF Risky + S = P2 cells + F = P3 Key Formula S??? F?? F S? Normalized V th 45

46 3. Guess Original States DF Risky + S = P2 cells + F = P3 Key Formula S F F S Normalized V th 46

47 RFR Evaluation Program with random data 28 days Expect to eliminate 50% of raw bit errors ECC can correct remaining errors Detect failure, backup data Recover data 12 addt l. days 47

48 To understand the effects of retention loss: - Characterize retention loss using real chips Goal 1: Design a low-cost mechanism that dynamically finds the optimal read reference voltage Goal 2: Design an offline mechanism to recover data after detecting uncorrectable errors 48

49 Conclusion Problem: Retention loss reduces flash lifetime Overall Goal: Extend flash lifetime at low cost Flash Characterization: Developed an understanding of the effects of retention loss in real chips Retention Optimized Reading: A low-cost mechanism that dynamically finds the optimal read reference voltage 64% lifetime, 70.4% read latency Retention Failure Recovery: An offline mechanism that recovers data after detecting uncorrectable errors Raw bit error rate 50%, reduces data loss 49

50 Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 50

51 Backup Slides 51

52 RFR Motivation Data loss can happen in many ways 1. High P/E cycle 2. High temperature accelerates retention loss 3. High retention age (lost power for a long time) 52

53 What if there are other errors? Key: RFR does not have to correct all errors Example: ECC can correct 40 errors in a page Corrupted page has 20 retention errors, 25 other errors (45 total errors) After RFR: 10 retention errors, 30 other errors (40 total errors ECC correctable) 53

54 Threshold voltage mean Threshold Voltage (V th ) Mean P1 P2 P3 Relatively constant Slowly decrease Quickly decrease Finding: V th shifts faster in higher voltage states 54

55 Raw Bit Error Rate (RBER) RBER gradually decreases as read reference voltage approaches the actual OPT Actual OPT Reading data with 7-day retention age. Finding: The actual OPT achieves the lowest RBER 55

56 Online Pre-Optimization Algorithm DF Case: V 0 < OPT V 0 OPT DF Case: V 0 > OPT OPT V 0 Normalized V th Normalized V th 56

57 Online Pre-Optimization Algorithm Periodically learn and record OPT for page 255 as per-block starting read reference voltage (V 0 ) Page 255 has the shortest retention age Other pages within the block have longer retention age and retention age will increase over time Step 1: Read with V ref = old V 0, record RBER Step 2: Decrease V ref =V ref ΔV * compare RBER Step 3: Increase V ref = V ref + ΔV compare RBER Step 4: Record new V 0 = V ref minimal RBER * ΔV is the smallest step size for changing read reference voltage. 57

58 Naive Read-Retry Latency Diagnosis II Read page A (Stage-0): Attempt 1 time Flash Read Latency ECC Latency = Constant Raw bit error * Observation: Average ECC latency RBER * We provide detailed analysis of ECC latency in the paper. 58

59 Arrhenius Law 1 year Room temperature (20 C) 32 hours High temperature (70 C) High temperature accelerates retention loss 59

60 Average V th shift Fast- and Slow-Leaking Cells Slow-leaking cells Ends up in higher V th Fast-leaking cells Ends up in higher V th (-,-3σ) (-3σ,-2σ) (-2σ,-1σ) (-1σ,μ) (μ,1σ) (1σ,2σ) (2σ,3σ) (3σ,+ ) Retention age (days) * Similar trends are found in P2 state, as shown in the paper. 60

61 Fast- and Slow-Leaking Cells DF Threshold voltage marks after 28 days: -3σ -2σ -1σ μ 1σ 2σ 3σ Normalized V th 61

62 Average V th shift Fast- and Slow-Leaking Cells Slow-leaking cells Ends up in lower V th Fast-leaking cells Ends up in higher V th (-,-3σ) (-3σ,-2σ) (-2σ,-1σ) (-1σ,μ) (μ,1σ) (1σ,2σ) (2σ,3σ) (3σ,+ ) Retention age (days) * Similar trends are found in P2 state, as shown in the paper. 62

63 CG Control gate (CG) FG Inter-poly oxide Floating gate (FG) S Substrate D Tunnel oxide Source Drain Substrate 63

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Yu Cai, Yixin Luo, Saugata Ghose, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *Seagate Technology

More information

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu HeatWatch Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Storage Technology Drivers

More information

Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu

Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu 1 Example Target Devices for Chip-Off Analysis Fire damaged

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Carnegie Mellon University Research Showcase @ CMU Department of Electrical and Computer Engineering Carnegie Institute of Technology 2-2015 Data Retention in MLC NAND Flash Memory: Characterization, Optimization,

More information

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch February 6, 2017

More information

HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness

HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Carnegie Mellon University

More information

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Carnegie Mellon University Research Showcase @ CMU Department of Electrical and Computer Engineering Carnegie Institute of Technology 6-2015 Read Disturb Errors in MLC NAND Flash Memory: Characterization,

More information

Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation

Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation YIXIN LUO, Carnegie Mellon University SAUGATA GHOSE, Carnegie Mellon University YU CAI, SK Hynix, Inc. ERICH

More information

Enabling Accurate and Practical Online Flash Channel Modeling for Modern MLC NAND Flash Memory

Enabling Accurate and Practical Online Flash Channel Modeling for Modern MLC NAND Flash Memory 1 Enabling Accurate and Practical Online Flash Channel Modeling for Modern MLC NAND Flash Memory Yixin Luo, Saugata Ghose, Yu Cai, Erich F Haratsch, Onur Mutlu Abstract NAND flash memory is a widely-used

More information

Error Analysis and Management for MLC NAND Flash Memory

Error Analysis and Management for MLC NAND Flash Memory Error Analysis and Management for MLC NAND Flash Memory Onur Mutlu onur@cmu.edu (joint work with Yu Cai, Gulay Yalcin, Eric Haratsch, Ken Mai, Adrian Cristal, Osman Unsal) August 7, 2014 Flash Memory Summit

More information

Increasing NAND Flash Endurance Using Refresh Techniques

Increasing NAND Flash Endurance Using Refresh Techniques Increasing NAND Flash Endurance Using Refresh Techniques Yu Cai 1, Gulay Yalcin 2, Onur Mutlu 1, Erich F. Haratsch 3, Adrian Cristal 2, Osman S. Unsal 2 and Ken Mai 1 DSSC, Carnegie Mellon University 1

More information

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Wei Wang 1, Tao Xie 2, Antoine Khoueir 3, Youngpil Kim 3 1 Computational Science Research Center, San Diego State University

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

FLASH DATA RETENTION

FLASH DATA RETENTION FLASH DATA RETENTION Document #AN0011 Viking Rev. B Purpose of this Document This application note was prepared to help OEM system designers evaluate the performance of Viking solid state drive solutions

More information

arxiv: v1 [cs.ar] 8 May 2018

arxiv: v1 [cs.ar] 8 May 2018 Read Disturb Errors in MLC NAND Flash Memory Yu Cai 1 Yixin Luo 1 Saugata Ghose 1 Erich F. Haratsch 2 Ken Mai 1 Onur Mutlu 3,1 1 Carnegie Mellon University 2 Seagate Technology 3 ETH Zürich arxiv:185.3283v1

More information

Controller Concepts for 1y/1z nm and 3D NAND Flash

Controller Concepts for 1y/1z nm and 3D NAND Flash Controller Concepts for 1y/1z nm and 3D NAND Flash Erich F. Haratsch Santa Clara, CA 1 NAND Evolution Planar NAND scaling is coming to an end in the sub- 20nm process 15nm and 16nm NAND are the latest

More information

NAND Flash Basics & Error Characteristics

NAND Flash Basics & Error Characteristics NAND Flash Basics & Error Characteristics Why Do We Need Smart Controllers? Thomas Parnell, Roman Pletka IBM Research - Zurich Santa Clara, CA 1 Agenda Part I. NAND Flash Basics Device Architecture (2D

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Qiao Li, Liang Shi, Chun Jason Xue Qingfeng Zhuge, and Edwin H.-M. Sha College of Computer Science, Chongqing University

More information

SLC vs MLC: Considering the Most Optimal Storage Capacity

SLC vs MLC: Considering the Most Optimal Storage Capacity White Paper SLC vs MLC: Considering the Most Optimal Storage Capacity SLC vs MLC: Considering the Most Optimal Storage Capacity P. 1 Introduction Proficiency should be a priority for the storage in computers.

More information

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Wei Wang Computational Science Research Center San Diego State University San Diego, CA 95 Email: wang@rohan.sdsu.edu Tao

More information

Self-Adaptive NAND Flash DSP

Self-Adaptive NAND Flash DSP Self-Adaptive NAND Flash DSP Wei Xu 2018/8/9 Outline NAND Flash Data Error Recovery Challenges of NAND Flash Data Integrity A Self-Adaptive DSP Technology to Improve NAND Flash Memory Data Integrity 6

More information

ECC Approach for Correcting Errors Not Handled by RAID Recovery

ECC Approach for Correcting Errors Not Handled by RAID Recovery ECC Approach for Correcting Errors Not Handled by RAID Recovery Jeff Yang Siliconmotion Flash Memory Summit 27 Note: All the material are the concept proof and simulation.s It is not the real Siliconmotion

More information

Could We Make SSDs Self-Healing?

Could We Make SSDs Self-Healing? Could We Make SSDs Self-Healing? Tong Zhang Electrical, Computer and Systems Engineering Department Rensselaer Polytechnic Institute Google/Bing: tong rpi Santa Clara, CA 1 Introduction and Motivation

More information

3D NAND - Data Recovery and Erasure Verification

3D NAND - Data Recovery and Erasure Verification 3D NAND - Data Recovery and Erasure Verification Robin England Hardware Research & Development Team Lead Santa Clara, CA The Causes of SSD Data Loss What can go wrong? Logical Damage Data accidentally

More information

Holistic Flash Management for Next Generation All-Flash Arrays

Holistic Flash Management for Next Generation All-Flash Arrays Holistic Flash Management for Next Generation All-Flash Arrays Roman Pletka, Nikolas Ioannou, Ioannis Koltsidas, Nikolaos Papandreou, Thomas Parnell, Haris Pozidis, Sasa Tomic IBM Research Zurich Aaron

More information

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension DPA: A data pattern aware error prevention technique for NAND flash lifetime extension *Jie Guo, *Zhijie Chen, **Danghui Wang, ***Zili Shao, *Yiran Chen *University of Pittsburgh **Northwestern Polytechnical

More information

NAND Controller Reliability Challenges

NAND Controller Reliability Challenges NAND Controller Reliability Challenges Hanan Weingarten February 27, 28 28 Toshiba Memory America, Inc. Agenda Introduction to NAND and 3D technology Reliability challenges Summary 28 Toshiba Memory America,

More information

Design-Induced Latency Variation in Modern DRAM Chips:

Design-Induced Latency Variation in Modern DRAM Chips: Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction Mechanisms Donghyuk Lee 1,2 Samira Khan 3 Lavanya Subramanian 2 Saugata Ghose 2 Rachata Ausavarungnirun

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Technical Notes. Considerations for Choosing SLC versus MLC Flash P/N REV A01. January 27, 2012

Technical Notes. Considerations for Choosing SLC versus MLC Flash P/N REV A01. January 27, 2012 Considerations for Choosing SLC versus MLC Flash Technical Notes P/N 300-013-740 REV A01 January 27, 2012 This technical notes document contains information on these topics:...2 Appendix A: MLC vs SLC...6

More information

Information Storage and Spintronics 10

Information Storage and Spintronics 10 Information Storage and Spintronics 10 Atsufumi Hirohata Department of Electronic Engineering 09:00 Tuesday, 30/October/2018 (J/Q 004) Quick Review over the Last Lecture Flash memory : NAND-flash writing

More information

SoftMC A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies

SoftMC A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies SoftMC A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies Hasan Hassan, Nandita Vijaykumar, Samira Khan, Saugata Ghose, Kevin Chang, Gennady Pekhimenko, Donghyuk

More information

Sub-block Wear-leveling for NAND Flash

Sub-block Wear-leveling for NAND Flash IBM Research Zurich March 6, 2 Sub-block Wear-leveling for NAND Flash Roman Pletka, Xiao-Yu Hu, Ilias Iliadis, Roy Cideciyan, Theodore Antonakopoulos Work done in collaboration with University of Patras

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

Data Organization and Processing

Data Organization and Processing Data Organization and Processing Indexing Techniques for Solid State Drives (NDBI007) David Hoksza http://siret.ms.mff.cuni.cz/hoksza Outline SSD technology overview Motivation for standard algorithms

More information

NAND Flash: Where we are, where are we going?

NAND Flash: Where we are, where are we going? NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary Cell Size [um

More information

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles How to Extend 2D-TLC Endurance to 3,000 P/E Cycles Federico M. Benelli CTO, NandExt Santa Clara, CA 1 Outline TLC Market TLC challenges TLC 10 Technology Platform: Best In Between (BIB) Page-based CLAP-LDPC

More information

arxiv: v2 [cs.ar] 5 Jan 2018

arxiv: v2 [cs.ar] 5 Jan 2018 1 Errors in Flash-Memory-Based Solid-State Drives: Analysis, Mitigation, and Recovery YU CAI, SAUGATA GHOSE Carnegie Mellon University arxiv:1711.11427v2 [cs.ar] 5 Jan 2018 ERICH F. HARATSCH Seagate Technology

More information

Embedded Memory Alternatives

Embedded Memory Alternatives EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 26: Embedded Memory - Flash Slides Courtesy of Randy McKee, TI Embedded Memory Alternatives Courtesy Randy McKee, TI 2 1 3 4 2 5 SRAM 3

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Error Recovery Flows in NAND Flash SSDs

Error Recovery Flows in NAND Flash SSDs Error Recovery Flows in NAND Flash SSDs Viet-Dzung Nguyen Marvell Semiconductor, Inc. Flash Memory Summit 2018 Santa Clara, CA 1 Outline Data Reliability in NAND Flash Memories Concept of an Error Recovery

More information

3D NAND Assessment for Next Generation Flash Applications

3D NAND Assessment for Next Generation Flash Applications ** Patrick Breen, ** Tom Griffin, * Nikolaos Papandreou, * Thomas Parnell, ** Gary Tressler * IBM Research Zurich, Switzerland ** IBM Systems Poughkeepsie, NY, USA IBM Corporation August 2016 1 Agenda

More information

This work was supported in part by a Caltech CI2 grant /16/$ IEEE 6C-6-1

This work was supported in part by a Caltech CI2 grant /16/$ IEEE 6C-6-1 Data Archiving in 1x-nm NAND Flash Memories: Enabling Long-Term Storage using Rank Modulation and Scrubbing Yue Li,EyalEnGad, Anxiao (Andrew) Jiang, and Jehoshua Bruck Department of Electrical Engineering,

More information

Secondary storage. CS 537 Lecture 11 Secondary Storage. Disk trends. Another trip down memory lane

Secondary storage. CS 537 Lecture 11 Secondary Storage. Disk trends. Another trip down memory lane Secondary storage CS 537 Lecture 11 Secondary Storage Michael Swift Secondary storage typically: is anything that is outside of primary memory does not permit direct execution of instructions or data retrieval

More information

arxiv: v3 [cs.ar] 22 Sep 2017

arxiv: v3 [cs.ar] 22 Sep 2017 Appears in Proceedings of the IEEE, September 2017. Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives Yu Cai Saugata Ghose Erich F. Haratsch Yixin Luo Onur Mutlu

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends NAND Flash Architecture and Specification Trends Michael Abraham (mabraham@micron.com) NAND Solutions Group Architect Micron Technology, Inc. August 2011 1 Topics NAND Flash trends SSD/Enterprise application

More information

Secure data storage -

Secure data storage - Secure data storage - NAND Flash technologies and controller mechanisms Ricky Gremmelmaier Head of Business Development Embedded Computing Rutronik at a Glance Founded in 1973 / 2016 Revenue: 872 Mio Headquartered

More information

ERROR CORRECTION METHODS FOR NAND FLASH FAILURE MECHANISMS IMPACTING READABILITY WINDOWS. A Project

ERROR CORRECTION METHODS FOR NAND FLASH FAILURE MECHANISMS IMPACTING READABILITY WINDOWS. A Project ERROR CORRECTION METHODS FOR NAND FLASH FAILURE MECHANISMS IMPACTING READABILITY WINDOWS A Project Presented to the faculty of the Department of Electrical and Electronic Engineering California State University,

More information

Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture

Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu Carnegie Mellon University HPCA - 2013 Executive

More information

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Emre Kültürsay *, Mahmut Kandemir *, Anand Sivasubramaniam *, and Onur Mutlu * Pennsylvania State University Carnegie Mellon University

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

Computer Architecture: Emerging Memory Technologies (Part II) Prof. Onur Mutlu Carnegie Mellon University

Computer Architecture: Emerging Memory Technologies (Part II) Prof. Onur Mutlu Carnegie Mellon University Computer Architecture: Emerging Memory Technologies (Part II) Prof. Onur Mutlu Carnegie Mellon University Emerging Memory Technologies Lectures These slides are from the Scalable Memory Systems course

More information

arxiv: v1 [cs.ar] 12 Aug 2018

arxiv: v1 [cs.ar] 12 Aug 2018 arxiv:1808.04016v1 [cs.ar] 12 Aug 2018 Architectural Techniques for Improving NAND Flash Memory Reliability Yixin Luo CMU-CS-18-101 August 2018 School of Computer Science Carnegie Mellon University Pittsburgh,

More information

Architectural Techniques for Improving NAND Flash Memory Reliability. Yixin Luo

Architectural Techniques for Improving NAND Flash Memory Reliability. Yixin Luo Architectural Techniques for Improving NAND Flash Memory Reliability Yixin Luo CMU-CS-18-101 March 2018 School of Computer Science Carnegie Mellon University Pittsburgh, PA 15213 Thesis Committee Onur

More information

Flash ( p.531)

Flash ( p.531) Introduction to CMOS VLSI Design Flash (12.4.3.531) J. J. Nahas and P. M. Kogge Modified from slides by Jay Brockman 2008, 2015,2018 [Including slides from Harris & Weste, Ed 4, Adated from Mary Jane Irwin

More information

Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives

Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives This paper reviews the most recent advances in solid-state drive (SSD) error characterization, mitigation, and

More information

Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND Flash Memory-Based Sensor Systems

Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND Flash Memory-Based Sensor Systems Sensors 214, 14, 18851-18877; doi:1.339/s14118851 Article OPEN ACCESS sensors ISSN 1424-822 www.mdpi.com/journal/sensors Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND

More information

REAL: A Retention Error Aware LDPC Decoding Scheme to Improve NAND Flash Read Performance

REAL: A Retention Error Aware LDPC Decoding Scheme to Improve NAND Flash Read Performance REAL: A Retention Error Aware LDPC Decoding Scheme to Improve NAND Flash Read Performance Meng Zhang, Fei Wu* Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology,

More information

FlexECC: Partially Relaxing ECC of MLC SSD for Better Cache Performance

FlexECC: Partially Relaxing ECC of MLC SSD for Better Cache Performance FlexECC: Partially Relaxing ECC of MLC SSD for Better Cache Performance Ping Huang, Pradeep Subedi, Xubin He, Shuang He and Ke Zhou Department of Electrical and Computer Engineering, Virginia Commonwealth

More information

Optimizing Performance and Reliability of Mobile Storage Memory

Optimizing Performance and Reliability of Mobile Storage Memory Optimizing Performance and Reliability of Mobile Storage Memory 8/5/14 You Sung Kim SK hynix America, Inc. August 2014 1 Memory Trend PC Era (1980~) CPU centric (GHz spec.) Smart & Mobile Era (2007~) Mobile

More information

Efficient Data Mapping and Buffering Techniques for Multi-Level Cell Phase-Change Memories

Efficient Data Mapping and Buffering Techniques for Multi-Level Cell Phase-Change Memories Efficient Data Mapping and Buffering Techniques for Multi-Level Cell Phase-Change Memories HanBin Yoon, Justin Meza, Naveen Muralimanohar*, Onur Mutlu, Norm Jouppi* Carnegie Mellon University * Hewlett-Packard

More information

Computer Architecture: Main Memory (Part II) Prof. Onur Mutlu Carnegie Mellon University

Computer Architecture: Main Memory (Part II) Prof. Onur Mutlu Carnegie Mellon University Computer Architecture: Main Memory (Part II) Prof. Onur Mutlu Carnegie Mellon University Main Memory Lectures These slides are from the Scalable Memory Systems course taught at ACACES 2013 (July 15-19,

More information

How Much Can Data Compressibility Help to Improve NAND Flash Memory Lifetime?

How Much Can Data Compressibility Help to Improve NAND Flash Memory Lifetime? How Much Can Data Compressibility Help to Improve NAND Flash Memory Lifetime? Jiangpeng Li *, Kai Zhao *, Xuebin Zhang *, Jun Ma, Ming Zhao, and Tong Zhang * * Rensselaer Polytechnic Institute Shanghai

More information

High Performance and Highly Reliable SSD

High Performance and Highly Reliable SSD High Performance and Highly Reliable SSD -Proposal of the Fastest Storage with B4-Flash - Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 Big Data comes

More information

Flash type comparison for SLC/MLC/TLC and Advantech s Ultra MLC technology

Flash type comparison for SLC/MLC/TLC and Advantech s Ultra MLC technology Flash type comparison for SLC/MLC/TLC and Advantech s Ultra MLC technology Author: Andy Chen, Product Engineer, Advantech Corporation Introduction Flash memory is a non-volatile storage element that can

More information

Optimizes Embedded Flash-based Storage for Automotive Use

Optimizes Embedded Flash-based Storage for Automotive Use WHITE PAPER Optimizes Embedded Flash-based Storage for Automotive Use The In-Vehicle Infotainment (IVI) systems in new car designs today have a huge appetite for data storage capacity and this appetite

More information

Differential RAID: Rethinking RAID for SSD Reliability

Differential RAID: Rethinking RAID for SSD Reliability Differential RAID: Rethinking RAID for SSD Reliability Mahesh Balakrishnan Asim Kadav 1, Vijayan Prabhakaran, Dahlia Malkhi Microsoft Research Silicon Valley 1 The University of Wisconsin-Madison Solid

More information

Designing Enterprise SSDs with Low Cost Media

Designing Enterprise SSDs with Low Cost Media Designing Enterprise SSDs with Low Cost Media Jeremy Werner Director of Marketing SandForce Flash Memory Summit August 2011 Santa Clara, CA 1 Everyone Knows Flash is migrating: To smaller nodes 2-bit and

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 28: DRAM & Flash Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Review of Last Lecture

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

Flash Memory. 2D NAND vs. 3D NAND. White Paper F-WP002

Flash Memory. 2D NAND vs. 3D NAND. White Paper F-WP002 Flash Memory 2D NAND vs. 3D NAND White Paper F-WP002 Corporate Headquarters: 39870 Eureka Dr., Newark, CA 94560, USA Tel: (510) 623-1231 Fax: (510) 623-1434 E-mail: info@smartm.com Customer Service: Tel:

More information

dr. Jan Peter van Zandwijk

dr. Jan Peter van Zandwijk Bit-errors as a source of forensic information in NAND-flash dr. Jan Peter van Zandwijk j.p.van.zandwijk@nfi.minvenj.nl Introduction (1) NAND-flash is most popular medium for non-volatile data-storage

More information

Temperature Considerations for Industrial Embedded SSDs

Temperature Considerations for Industrial Embedded SSDs Solid State Storage and Memory White Paper Temperature Considerations for Industrial Embedded SSDs Introduction NAND flash-based SSDs and memory cards continue to be the dominant storage media for most

More information

Health-Binning Maximizing the Performance and the Endurance of Consumer-Level NAND Flash

Health-Binning Maximizing the Performance and the Endurance of Consumer-Level NAND Flash Health-Binning Maximizing the Performance and the Endurance of Consumer-Level NAND Flash Roman Pletka, Saša Tomić IBM Research Zurich Systor 2016, Haifa, Israel June 6, 2016 1 Outline and Motivation Introduction

More information

SSD (Solid State Disk)

SSD (Solid State Disk) SSD (Solid State Disk) http://en.wikipedia.org/wiki/solid-state_drive SSD (Solid State Disk) drives Most SSD drives gives very good performance 4x ~ 100x No noise, low weight, power and heat generation

More information

Case studies from the real world: The importance of measurement and analysis in system building and design

Case studies from the real world: The importance of measurement and analysis in system building and design Case studies from the real world: The importance of measurement and analysis in system building and design Bianca Schroeder University of Toronto Main interest: system reliability Why and how do systems

More information

Title Laser testing and analysis of SEE in DDR3 memory components

Title Laser testing and analysis of SEE in DDR3 memory components Title Laser testing and analysis of SEE in DDR3 memory components Name P. Kohler, V. Pouget, F. Wrobel, F. Saigné, P.-X. Wang, M.-C. Vassal pkohler@3d-plus.com 1 Context & Motivation Dynamic memories (DRAMs)

More information

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Cristian Zambelli, Lorenzo Zuolo*, Piero Olivo, Luca Crippa*, Alessia Marelli * and Rino Micheloni* Università degli Studi di Ferrara,

More information

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA.

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA. www.semargroups.org ISSN 2319-8885 Vol.02,Issue.06, July-2013, Pages:480-486 Error Correction in MLC NAND Flash Memories Based on Product Code ECC Schemes B.RAJAGOPAL REDDY 1, K.PARAMESH 2 1 Research Scholar,

More information

islc Claiming the Middle Ground of the High-end Industrial SSD Market

islc Claiming the Middle Ground of the High-end Industrial SSD Market White Paper islc Claiming the Middle Ground of the High-end Industrial SSD Market Executive Summary islc is a NAND flash technology designed to optimize the balance between cost and performance. The firmware

More information

WHAT HAPPENS WHEN THE FLASH INDUSTRY GOES TO TLC? Luanne M. Dauber, Pure Storage

WHAT HAPPENS WHEN THE FLASH INDUSTRY GOES TO TLC? Luanne M. Dauber, Pure Storage WHAT HAPPENS WHEN THE FLASH INDUSTRY GOES TO TLC? Luanne M. Dauber, Pure Storage SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA unless otherwise noted. Member companies

More information

Purity: building fast, highly-available enterprise flash storage from commodity components

Purity: building fast, highly-available enterprise flash storage from commodity components Purity: building fast, highly-available enterprise flash storage from commodity components J. Colgrove, J. Davis, J. Hayes, E. Miller, C. Sandvig, R. Sears, A. Tamches, N. Vachharajani, and F. Wang 0 Gala

More information

Flash memory talk Felton Linux Group 27 August 2016 Jim Warner

Flash memory talk Felton Linux Group 27 August 2016 Jim Warner Flash memory talk Felton Linux Group 27 August 2016 Jim Warner Flash Memory Summit Annual trade show at Santa Clara Convention Center Where there is money, trade shows follow. August 8 11, 2016 Borrowing

More information

Understanding Reduced-Voltage Operation in Modern DRAM Devices

Understanding Reduced-Voltage Operation in Modern DRAM Devices Understanding Reduced-Voltage Operation in Modern DRAM Devices Experimental Characterization, Analysis, and Mechanisms Kevin Chang A. Giray Yaglikci, Saugata Ghose,Aditya Agrawal *, Niladrish Chatterjee

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information

Flash Memory. Gary J. Minden November 12, 2013

Flash Memory. Gary J. Minden November 12, 2013 Flash Memory Gary J. Minden November 12, 2013 1 Memory Types Static Random Access Memory (SRAM) Register File Cache Memory on Processor Dynamic Random Access Memory (DRAM, SDRAM) Disk Solid State Disk

More information

arxiv: v2 [cs.ar] 15 May 2017

arxiv: v2 [cs.ar] 15 May 2017 Understanding and Exploiting Design-Induced Latency Variation in Modern DRAM Chips Donghyuk Lee Samira Khan ℵ Lavanya Subramanian Saugata Ghose Rachata Ausavarungnirun Gennady Pekhimenko Vivek Seshadri

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS Samira Khan MEMORY IN TODAY S SYSTEM Processor DRAM Memory Storage DRAM is critical for performance

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

It Takes Guts to be Great

It Takes Guts to be Great It Takes Guts to be Great Sean Stead, STEC Tutorial C-11: Enterprise SSDs Tues Aug 21, 2012 8:30 to 11:20AM 1 Who s Inside Your SSD? Full Data Path Protection Host Interface It s What s On The Inside That

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Understanding SSD Reliability in Large-Scale Cloud Systems

Understanding SSD Reliability in Large-Scale Cloud Systems Understanding SSD Reliability in Large-Scale Cloud Systems Erci Xu Mai Zheng Feng Qin Yikang Xu Jiesheng Wu Ohio State University Iowa State University Ohio State University Aliyun Alibaba Aliyun Alibaba

More information

Programming Matters. MLC NAND Reliability and Best Practices for Data Retention. Data I/O Corporation. Anthony Ambrose President & CEO

Programming Matters. MLC NAND Reliability and Best Practices for Data Retention. Data I/O Corporation. Anthony Ambrose President & CEO Programming Matters MLC NAND Reliability and Best Practices for Data Retention Data I/O Corporation Anthony Ambrose President & CEO Flash Memory Summit 2013 Santa Clara, CA 1 Executive Summary As Process

More information

Improved Error Correction Capability in Flash Memory using Input / Output Pins

Improved Error Correction Capability in Flash Memory using Input / Output Pins Improved Error Correction Capability in Flash Memory using Input / Output Pins A M Kiran PG Scholar/ Department of ECE Karpagam University,Coimbatore kirthece@rediffmail.com J Shafiq Mansoor Assistant

More information

Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous-Reliability Memory

Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous-Reliability Memory Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous-Reliability Memory Yixin Luo, Sriram Govindan, Bikash Sharma, Mark Santaniello, Justin Meza, Aman Kansal,

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Solid State Drives (SSDs) Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Memory Types FLASH High-density Low-cost High-speed Low-power High reliability

More information