Dept of EECS and 2 Applied Physics Program (fax)

Size: px
Start display at page:

Download "Dept of EECS and 2 Applied Physics Program (fax)"

Transcription

1 Hsu-Ting Huang, Brooke Stutzman 2, Wei Kong, and Fred L. Terry, Jr.,2 Dept of EECS and 2 Applied Physics Program (fax) fredty@umich.edu

2 Motivation and Background Demonstration of Spectroscopic Ellipsometry for Analysis Patterned Wafers Two-Channel Spectral Reflectometry as a Lower Cost / Higher Reliability Alternative to Full Spectroscopic Ellipsometry In Situ Measurements of Grating Evolution (The Motion Picture) Advantages of Near-Normal Incidence SE (RDS) for Topography Measurements Conclusions and Future Efforts

3 High-Speed, Nondestructive, Critical Dimension (CD) Measurement in Deep Sub- µm Regime Faster, More Accurate than CD-SEM Ex Situ & In Situ Applications Depth, Wall Angle (Wall Shape) In Situ Etch Monitoring In Line Photolithography Characterization In Situ Applications Favor Fixed Position (Single Angle of Incidence) Instrumentation for High Speed Spectral Methods

4 Basic Concept: Scattering (Diffraction) of Light from Features Produces Strong Structure in Reflected Optical Field Analyze Structure to Obtain Topography Information Periodic Structures (Gratings) Can Be Numerically Modeled Exactly

5 Single Wavelength Scatterometry Examine Structure in Specular and/or Diffracted Modes vs. Angle of Incidence at a Single Wavelength Naqvi, McNeil, and Co-workers (UNM) Elta, Terry, and Co-workers (U. Michigan) Texas Instruments, Sandia Systems Biorad Spectroscopic Ellipsometry and Reflectometry Examine Structure vs. Wavelength at Fixed AOI Terry and Co-workers (U. Michigan) Spanos and Co-workers (UCB), Timbre Technologies

6 Light Source Polarizer Analyzer Detector Eip Erp Eis Ers ρ α = = R R p s cos( = E E 2 Ψ rp rs ), / / E E Sample tan( sin( 2 Ψ cos( Tan(Ψ) And Cos( ) Are Measured by Ellipsometry Functions of wavelength and incident angle ip is β = = Ψ ) ) exp( i ) )

7 The Line is Sliced into a Number of Thin Layers Numerical Eigen-Matrix Solution for Maxwell s Equations Amplitudes & Phases of Different Diffraction Orders Are Obtained by Matching the EM Boundary Conditions Reflected Waves t - ε d θ ε Transmitted Waves

8 Let N be the number of harmonics retained for approximating the solution, s be the number of slices used for approximating grating profile, Then at each wavelength we need 4Ns linear equations for p-polarization 2(N+)s linear equations for s-polarization Typical: s, N~45-65

9 n= 2 N- N 4s x 2s 2s x s C C 2 = s x C N C N R i 2 (N+) Typical N~45-65, S Solve separately for E s and E p to generate tan(ψ) and cos( ) Run time is approximately ~ 2-5 min/forward simulation T i

10

11 ~.35µm Line/Space Grating In Photoresist/3Å SiO 2 /Si Accurate Photoresist N(λ) Obtained by SE Measurement of Similarly Prepared Unpatterned Film Period Measured as.7 µm Using st Order Diffraction Angle at Multiple λ s

12 Photoresist Refractive Index Extracted by 3- Angle SE Measurement (65, 7, 75º) of Similarly Prepared Blanket PR Film on Si Thickness Extracted from Transparent Region (5-83nm) n Blanket Photoresist Refractive Index k (n,k) vs. λ Extracted by Direct Point by Point Fit n.8.3 k Some Uncertainty Due to PR Changes During SE Measurements and Due to Vacuum Curing of PR Gratings Wavelength (nm)

13 Grating Equation: sin(θ D )= sin(θ i )+mλ/p For m= (st Order Diffraction) λ=p[sin(θ D )- sin(θ i )] Measurements Done on Sopra GESP-5 in Scatterometry Mode at Nominal AOI=7 Sample Aligned to Plane of Incidence Using High Negative Order Diffracted Light (Backscattered Toward Polarizer Arm) Elimination of Period as a Free Variable Reduces Topography Extraction Problem Wavelength (um) Sony.35µm/.35µm Photoresist Grating Wavelength (um) Y=M+M*X M M.745 R Period (µm).7 Angle of Incidence sin(theta) st order diffraction peak

14 7 Sharp Reflection Resonances Change with Topography 6 tan(psi)-measured 5.5 tan(ψ) 4 3 cos( ) Wavelength (µm) cos(delta)-measured Wavelength (µm)

15 tan(psi)-measured tan(psi)-fit cos(delta)-measured cos(delta)-fit 8.5 tan(ψ) cos( ).92 um.534 um 83.9º Wavelength (µm).36 um um

16 Sharp Features in Spectroscopic Ellipsometry Data Are Very Sensitive to Detailed Shape of Lines in Grating These Sharp Features Are Known as Wood s Anomalies R.W. Wood, Phys. Rev., p. 928 (935) {further commenting on his observations from 92-92} Detailed Fitting Using Vector Diffraction Theory Can Yield Accurate Quantitative Information Some Important Questions: Optimal Measurement Modes Best Methods for Analysis (Inverse Problem) & Issues of Uniqueness

17

18 Similar to Ellipsometer but Fixed Prisms (No Moving Parts) Measures R s 2, R p 2 tan(ψ) Key Is Availability of Adequate Low Cost, High Speed, Broad Wavelength Range Spectrometer 6ms Integration Time/2Hz Sampling Rate (Full Spectral) with Easy Upgrade to 3ms/4Hz Low Construction Cost (~$7K) Very Good Reproducibility and Near SE Level Accuracy Demonstrated

19 2CSR Incident 2CSR Reflected

20 Real-Time Etch Monitoring: Blanket Film SiO 2 Etched in CF 4 at 5 & W Thickness from Fit to Both R p 2 & R s 2 6ms Integration Time, s Sampling Time Thickness Repeatability σ d =.22Å Etch Rate Standard Deviation σ e =.37Å/s Thickness (Angstroms) Thickness (A) Etch Rate (A/s) time (s) Etch Rate (Angstroms/s)

21 tan(ψ ) Tan(ψ) Vs wavelength, SE and TCSR AOI=73º SE TCSR Wavelength (µm)

22 Grating with.35 µm line/space width Grating In Photoresist/ 37Å SiO 2 Si Period Measured as.7 µm Using st Order Diffraction Angle at Multiple λ s Etch experiment Modified GEC Etching Chamber mtorr, sccm O 2,5 W 3.56 MHz RF Blanket PR Etch Rate ~.5 nm/s Simple Test Case / Photoresist Trim Process Used to Reduce Gate CD Below Photo Limitations

23 Model Experimental Data Rs 2, Rp 2 vs. λ Calculated Rs 2, Rp 2 vs. λ Nonlinear Regression of Depth, CD and Wall Angle Adjust the Parameters Initial Guess Optimized Parameters

24 Time = 7 sec R P 2 R S CSR Rp ^2 RCWA Rp ^2 2CSR Rs ^2 RCWA Rs ^ Height:.757 µm TopWidth:.54 µm Bottom:.343 µm WallAngle: 82.9º Wavelength (um)

25 Time = 67 sec R P 2 R S CSR Rp ^2 RCWA Rp ^2 2CSR Rs ^2 RCWA Rs ^ Wavelength (um) Height:.436 µm TopWidth:.76 µm Bottom:.54 µm WallAngle: 84.9º

26 Depth:.679 µm Top:.8 µm Bottom:.24 µm WallAngle: 84.5 Etch Time: 2 sec Depth:.638 µm Top:.3 µm Bottom:.269 µm WallAngle: 83.8 Etch Time: 24 sec Depth:.49 µm Top:.85 µm Bottom:.96 µm WallAngle: 83.5 Etch Time: 45 sec Depth:.435 µm Top:.77 µm Bottom:.53 µm WallAngle: 85 Etch Time: 6 sec

27 Topwidth (um) Depth (um) Top Width (um) Depth (um) E+ 9.E+.E+ 2.3E+ 2.5E+ 2.7E+ 2.9E+ 2 2.E E+ 2 Etching Time (sec)

28 Feature Height (nm) Top Width (nm) 78 Real-time-pr- 8 Feature Height (nm) Y = M + M*X M M R Y = M + M*X M 98.9 M R Time (s) Top Width (nm)

29 Real-Time In Situ CD Monitoring/Control Status Kernel Based Learning Algorithm Interpolation Scheme Fully Automated on Modified GEC Reactor st Demonstration of Fully Automated Endpoint-On-Target-CD Accomplished on 2/4/ in GEC Reactor with ms Level Execution Speeds Further Tests Found that the KBL Approach is too Sensitive to Noise and Systematic Experimental Error New Algorithm Developed and Tested Using a Nonlinear Convex Hull Estimation Technique Initial Testing is Highly Successful Speed Optimization Underway Further Tests and Theoretical Analysis is Underway Joint Effort with Ji-Woong Lee and Prof. Pramod Khargonekar

30

31 Maximizes Sensitivity to the Pattern R = (R p -R s ) = at Normal Incidence on Unpatterned Wafers Maximizes the Illumination of Sidewalls Reflectance Difference Spectroscopy (RDS) Established III-V Epi Growth Monitor / Detects Oriented Surface Molecular Bonds (Dimmers) Detection Limit of R/R 5-5 z E p Es

32 Simulation Study: Normal vs. Oblique Incidence for Slightly Modulated PR Gratings Flat film: PR Si Sub Very shallow grating: PR Si Sub Å Å 5 Å.2 R/R R/R - 6 Incidence 75 Incidence

33 CD = 5Å Å Poly Poly Poly Depth = 25Å SiO 2 5Å Si Substrate Target: To extract CD & depth separately from SE measurement. α = cos(2ψ), [α = - ( R/R)/2] β = sin(2ψ) cos( )

34 Varying the CD, Step = 2% (5nm) α.5 β.5 Nearly Orthogonal CD & Depth Variation Separated µm Varying the Grating Depth, Step = 2% (nm) µm α.5 β µm µm

35 Varying the CD, Step = 2% (5nm).8.8 α.6.4 β.6.4 Nearly Parallel CD & Depth Strongly Correlated µm Varying the Grating Depth, Step = 2% (nm).8 µm α.6.4 β µm µm

36 Lam TCP94SE Plasma Etching System Cl 2 /HBr Si Main Etch Recipe Nominal Etching Rates: Oxide 5.43Å/sec Poly 52.Å/sec Times: 6, 77, 97, 6, 35, 54, 74 sec

37 Model Experimental Data α, β vs. λ Calculated α, β vs. λ Nonlinear Regression of Depth, CD and Wall Angle Adjust the Parameters Initial Guess Optimized Parameters

38 Incidence at 7 Etching Time : Experiment : Theory α λ (µm) β λ (µm)

39 alpha, beta Angle of Incidence=7 Degrees alpha measured alpha fit beta measured beta fit CD (µm) Depth (µm) Wall Angle SE & RCWA.323 ±.6.33 ± ±.29 SEM.323 ±.5.34 ± ± wavelength (µm)

40 Etch Depth (µm) SE/RDS Etch Depth (um) SEM Etch Depth (um) Etch Time (s) The depths extracted from the SE measurement are in very good agreement with those measured from SEM. The time evolved data shows strong potential for In Situ etch monitoring.

41 Single Angle of Incidence Spectroscopic Ellipsometry is Highly Sensitive to CDs in Deep Sub-µm Regime Operation in Near-Normal (RDS) Mode Improves the Ability to Separately Extract Topography Parameters (Depth, CD, and Wall Angle) Experimentally Demonstrated Capability on.35µm Line/Space Structures Simulations Show Potential to Resolve CDs of 5nm and Below Easy to Implement with Existing Commercial SEs Alternative Implementation with Two-Channel Spectral Reflectometer

42 Improving Ease & Speed of Analysis Understanding Error Limits Errors Induced By Parameterization of Shapes Variations in Lines Uniqueness Issues Overlap of Grating/Non-Grating Patterns NIST ULSI Metrology Conference (Kong, Huang, Terry) Demonstration in Process Control Applications Real Time RIE Endpoint Control Etch to Target CD Use with RTSE on Lam 94 Near Normal 2CSR on Lam 94 Experimental Demonstrations on Smaller Structures More Complex Test Patterns

43 Funding from DARPA/AFOSR MURI Center for Intelligent Electronics Manufacturing (AFOSR GRANT NO. F ) Semiconductor Research Corporation (Contract 97- FC85) {project ended} NIST-ATP: Intelligent Control of the Semiconductor Patterning Process Valuable Technical Assistance Jeff Fournier Pete Klimecky Dennis Schwieger

Fred L. Terry, Jr. Dept of EECS / University of Michigan (fax)

Fred L. Terry, Jr. Dept of EECS / University of Michigan (fax) Spectroscopic Ellipsometry and Reflectometry from Gratings (Scatterometry) for Critical Dimension Measurement and in situ, Real-Time Process Monitoring Fred L. Terry, Jr. Dept of EECS / University of Michigan

More information

Experiments. Introduction

Experiments. Introduction Analysis of Reflectometry and Ellipsometry Data from Patterned Structures M.E. Lee, C. Galarza, W. Kong, W. Sun and F. L. Terry, Jr. Department of Electrical Engineering and Computer Science, University

More information

Hsu-Ting Huang 1, Fred L. Terry Jr.* Erratum

Hsu-Ting Huang 1, Fred L. Terry Jr.* Erratum Thin Solid Films 468 (2004) 339 346 www.elsevier.com/locate/tsf Erratum Erratum to Spectroscopic ellipsometry and reflectometry from gratings (Scatterometry) for critical dimension measurement and in situ,

More information

Spectroscopic Ellipsometer --- J. A. Woollam alpha-se

Spectroscopic Ellipsometer --- J. A. Woollam alpha-se Spectroscopic Ellipsometer --- J. A. Woollam alpha-se Introduction Figure 1: J. A. Woollam alpha-se spectroscopic ellipsometer An ellipsometer measures the change in polarization as light reflects or transmits

More information

Real-Time Reactive Ion Etch Metrology Techniques to Enable In Situ Response Surface Process Characterization

Real-Time Reactive Ion Etch Metrology Techniques to Enable In Situ Response Surface Process Characterization C34 Journal of The Electrochemical Society, 148 (1) C34-C40 (2001) S0013-4651/2001/148(1)/C34/7/$7.00 The Electrochemical Society, Inc. Real-Time Reactive Ion Etch Metrology Techniques to Enable In Situ

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Supplementary Information for Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Bokwang Song 1, Villads Egede Johansen 2,3, Ole Sigmund 3 and Jung H. Shin 4,1,* 1

More information

d has a relationship with ψ

d has a relationship with ψ Principle of X-Ray Stress Analysis Metallic materials consist of innumerable crystal grains. Each grain usually faces in a random direction. When stress is applied on such materials, the interatomic distance

More information

LIGHT SCATTERING THEORY

LIGHT SCATTERING THEORY LIGHT SCATTERING THEORY Laser Diffraction (Static Light Scattering) When a Light beam Strikes a Particle Some of the light is: Diffracted Reflected Refracted Absorbed and Reradiated Reflected Refracted

More information

Session 1B Transparent Materials

Session 1B Transparent Materials Session 1B Transparent Materials Andrew Martin UPenn, February 2014 2014 J.A. Woollam Co., Inc. www.jawoollam.com 1 Overview Transparent substrates & films Cauchy equation Common complexities Evaluating,

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Introduction to Diffraction Gratings

Introduction to Diffraction Gratings Introduction to Diffraction Diffraction (Ruled and Holographic) Diffraction gratings can be divided into two basic categories: holographic and ruled. A ruled grating is produced by physically forming grooves

More information

Chapter 2: Wave Optics

Chapter 2: Wave Optics Chapter : Wave Optics P-1. We can write a plane wave with the z axis taken in the direction of the wave vector k as u(,) r t Acos tkzarg( A) As c /, T 1/ and k / we can rewrite the plane wave as t z u(,)

More information

MEMS SENSOR FOR MEMS METROLOGY

MEMS SENSOR FOR MEMS METROLOGY MEMS SENSOR FOR MEMS METROLOGY IAB Presentation Byungki Kim, H Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess 9/24/24 OUTLINE INTRODUCTION Motivation Contact/Noncontact measurement Optical interferometer

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

specular diffuse reflection.

specular diffuse reflection. Lesson 8 Light and Optics The Nature of Light Properties of Light: Reflection Refraction Interference Diffraction Polarization Dispersion and Prisms Total Internal Reflection Huygens s Principle The Nature

More information

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Katsuichi Kitagawa Toray Engineering Co. Ltd., 1-1-45 Oe, Otsu 50-141, Japan Corresponding

More information

Woollam M2000 Operation Manual

Woollam M2000 Operation Manual Woollam M2000 Operation Manual The Woollam M2000 is a spectroscopic ellipsometer used to characterize optically transparent films. The system has the Near IR upgrade that covers 700 wavelengths from 193nm

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

1 Introduction j3. Thicknesses d j. Layers. Refractive Indices. Layer Stack. Substrates. Propagation Wave Model. r-t-φ-model

1 Introduction j3. Thicknesses d j. Layers. Refractive Indices. Layer Stack. Substrates. Propagation Wave Model. r-t-φ-model j1 1 Introduction Thin films of transparent or semitransparent materials play an important role in our life. A variety of colors in nature are caused by the interference of light reflected at thin transparent

More information

CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR. John C. Fleming

CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR. John C. Fleming CARBON NANOTUBE FLAT PLATE BLACKBODY CALIBRATOR John C. Fleming Ball Aerospace, jfleming@ball.com Sandra Collins, Beth Kelsic, Nathan Schwartz, David Osterman, Bevan Staple Ball Aerospace, scollins@ball.com

More information

Aaron C. Kratzer Tate Research Group Department of Physics, Oregon State University. 11 th June, Abstract

Aaron C. Kratzer Tate Research Group Department of Physics, Oregon State University. 11 th June, Abstract Measurement and Modeling of Zinc Sulfide Thin Films using Ellipsometry and Reflection Spectroscopy: A Comparison of Optical Characterization Techniques Aaron C. Kratzer Tate Research Group Department of

More information

PHYS 3410/6750: Modern Optics Midterm #2

PHYS 3410/6750: Modern Optics Midterm #2 Name: PHYS 3410/6750: Modern Optics Midterm #2 Wednesday 16 November 2011 Prof. Bolton Only pen or pencil are allowed. No calculators or additional materials. PHYS 3410/6750 Fall 2011 Midterm #2 2 Problem

More information

Simple Spectrograph. grating. slit. camera lens. collimator. primary

Simple Spectrograph. grating. slit. camera lens. collimator. primary Simple Spectrograph slit grating camera lens collimator primary Notes: 1) For ease of sketching, this shows a transmissive system (refracting telescope, transmission grating). Most telescopes use a reflecting

More information

T-Solar Overview. * Patent-pending

T-Solar Overview. * Patent-pending T-Solar T-Solar Overview The T-Solar system combines our best photovoltaic measurement technology into a system designed specifically for measuring textured samples. Based on the established M-2000 rotating

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Physics 202 Homework 9

Physics 202 Homework 9 Physics 202 Homework 9 May 29, 2013 1. A sheet that is made of plastic (n = 1.60) covers one slit of a double slit 488 nm (see Figure 1). When the double slit is illuminated by monochromatic light (wavelength

More information

Optics Vac Work MT 2008

Optics Vac Work MT 2008 Optics Vac Work MT 2008 1. Explain what is meant by the Fraunhofer condition for diffraction. [4] An aperture lies in the plane z = 0 and has amplitude transmission function T(y) independent of x. It is

More information

NRF Ellipsometer SOP Revision /19/15 Page 1 of 14. Ellipsometer SOP

NRF Ellipsometer SOP Revision /19/15 Page 1 of 14. Ellipsometer SOP Page 1 of 14 Ellipsometer SOP The J. A. Woollam M88 is a spectroscopic ellipsometer used to measure film thickness and optical constants of transparent/semi-transparent thin films. It uses a Xenon arc

More information

Control of Light. Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 2007

Control of Light. Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 2007 Control of Light Emmett Ientilucci Digital Imaging and Remote Sensing Laboratory Chester F. Carlson Center for Imaging Science 8 May 007 Spectro-radiometry Spectral Considerations Chromatic dispersion

More information

WORCESTER POLYTECHNIC INSTITUTE

WORCESTER POLYTECHNIC INSTITUTE WORCESTER POLYTECHNIC INSTITUTE MECHANICAL ENGINEERING DEPARTMENT Optical Metrology and NDT ME-593L, C 2018 Introduction: Wave Optics January 2018 Wave optics: coherence Temporal coherence Review interference

More information

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Development of EUV-Scatterometry for CD Characterization of Masks PB Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Jan Perlich, Frank-Michael Kamm, Jenspeter Rau nfineon

More information

University Physics (Prof. David Flory) Chapt_37 Monday, August 06, 2007

University Physics (Prof. David Flory) Chapt_37 Monday, August 06, 2007 Name: Date: 1. If we increase the wavelength of the light used to form a double-slit diffraction pattern: A) the width of the central diffraction peak increases and the number of bright fringes within

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Resist trimming etch process control using dynamic scatterometry

Resist trimming etch process control using dynamic scatterometry Resist trimming etch process control using dynamic scatterometry Mohamed El Kodadi, Sébastien Soulan, Maxime Besacier, Patrick Schiavone To cite this version: Mohamed El Kodadi, Sébastien Soulan, Maxime

More information

AP* Optics Free Response Questions

AP* Optics Free Response Questions AP* Optics Free Response Questions 1978 Q5 MIRRORS An object 6 centimeters high is placed 30 centimeters from a concave mirror of focal length 10 centimeters as shown above. (a) On the diagram above, locate

More information

In-Situ Monitoring of Photoresist Thickness Contour

In-Situ Monitoring of Photoresist Thickness Contour In-Situ Monitoring of Photoresist Thickness Contour Weng Khuen Ho, Xiaodong Wu and Arthur Tay Department of Electrical and Computer Engineering National University of Singapore,1192 Singapore elehowk@nus.edu.sg

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual J.A. Woolam M-2000V Spectroscopic Ellipsometer Version 1.0 Page 1 of 13 Contents 1. Picture and Location 2. Process Capabilities 2.1 Cleanliness Standard 2.2 Features 2.3 Sample

More information

Diffraction Efficiency

Diffraction Efficiency Diffraction Efficiency Turan Erdogan Gratings are based on diffraction and interference: Diffraction gratings can be understood using the optical principles of diffraction and interference. When light

More information

Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers

Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers Polarized light scattering measurements of roughness, subsurface defects, particles, and dielectric layers on silicon wafers Thomas A. Germer a and Lipiin Sung a,b a National Institute of Standards and

More information

INTERFERENCE. where, m = 0, 1, 2,... (1.2) otherwise, if it is half integral multiple of wavelength, the interference would be destructive.

INTERFERENCE. where, m = 0, 1, 2,... (1.2) otherwise, if it is half integral multiple of wavelength, the interference would be destructive. 1.1 INTERFERENCE When two (or more than two) waves of the same frequency travel almost in the same direction and have a phase difference that remains constant with time, the resultant intensity of light

More information

Physical Optics. You can observe a lot just by watching. Yogi Berra ( )

Physical Optics. You can observe a lot just by watching. Yogi Berra ( ) Physical Optics You can observe a lot just by watching. Yogi Berra (1925-2015) OBJECTIVES To observe some interference and diffraction phenomena with visible light. THEORY In a previous experiment you

More information

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction Devices & Services Company 10290 Monroe Drive, Suite 202 - Dallas, Texas 75229 USA - Tel. 214-902-8337 - Fax 214-902-8303 Web: www.devicesandservices.com Email: sales@devicesandservices.com D&S Technical

More information

Outline The Refraction of Light Forming Images with a Plane Mirror 26-3 Spherical Mirror 26-4 Ray Tracing and the Mirror Equation

Outline The Refraction of Light Forming Images with a Plane Mirror 26-3 Spherical Mirror 26-4 Ray Tracing and the Mirror Equation Chapter 6 Geometrical Optics Outline 6-1 The Reflection of Light 6- Forming Images with a Plane Mirror 6-3 Spherical Mirror 6-4 Ray Tracing and the Mirror Equation 6-5 The Refraction of Light 6-6 Ray Tracing

More information

Phys 102 Lecture 17 Introduction to ray optics

Phys 102 Lecture 17 Introduction to ray optics Phys 102 Lecture 17 Introduction to ray optics 1 Physics 102 lectures on light Light as a wave Lecture 15 EM waves Lecture 16 Polarization Lecture 22 & 23 Interference & diffraction Light as a ray Lecture

More information

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY Prepared by Benjamin Mell 6 Morgan, Ste16, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 21

More information

Experimental Competition. Sample Solution

Experimental Competition. Sample Solution The 37th International Physics Olympiad Singapore Experimental Competition Wednesday, 1 July, 006 Sample Solution a. A sketch of the experimental setup Part 1 Receiver Rotating table Goniometer Fixed arm

More information

Crystal Quality Analysis Group

Crystal Quality Analysis Group Crystal Quality Analysis Group Contents Contents 1. Overview...1 2. Measurement principles...3 2.1 Considerations related to orientation and diffraction conditions... 3 2.2 Rocking curve measurement...

More information

: Imaging Systems Laboratory II. Laboratory 2: Snell s Law, Dispersion and the Prism March 19 & 21, n 1 n 2

: Imaging Systems Laboratory II. Laboratory 2: Snell s Law, Dispersion and the Prism March 19 & 21, n 1 n 2 05-3: Imaging Systems Laboratory II Laboratory : Snell s Law, Dispersion and the Prism March 9 &, 00 Abstract. This laboratory exercise will demonstrate two basic properties of the way light interacts

More information

ANOMALOUS SCATTERING FROM SINGLE CRYSTAL SUBSTRATE

ANOMALOUS SCATTERING FROM SINGLE CRYSTAL SUBSTRATE 177 ANOMALOUS SCATTERING FROM SINGLE CRYSTAL SUBSTRATE L. K. Bekessy, N. A. Raftery, and S. Russell Faculty of Science, Queensland University of Technology, GPO Box 2434, Brisbane, Queensland, Australia

More information

Lab 12 - Interference-Diffraction of Light Waves

Lab 12 - Interference-Diffraction of Light Waves Lab 12 - Interference-Diffraction of Light Waves Equipment and Safety: No special safety equipment is required for this lab. Do not look directly into the laser. Do not point the laser at other people.

More information

Intermediate Physics PHYS102

Intermediate Physics PHYS102 Intermediate Physics PHYS102 Dr Richard H. Cyburt Assistant Professor of Physics My office: 402c in the Science Building My phone: (304) 384-6006 My email: rcyburt@concord.edu My webpage: www.concord.edu/rcyburt

More information

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired tangential form. (a) The light from the sources and scatterers in the half space (1) passes through the

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Low-Open Area Endpoint Detection using a PCA based T 2 Statistic and Q Statistic on Optical Emission Spectroscopy Measurements

Low-Open Area Endpoint Detection using a PCA based T 2 Statistic and Q Statistic on Optical Emission Spectroscopy Measurements Low-Open Area Endpoint Detection using a PCA based T 2 Statistic and Q Statistic on Optical Emission Spectroscopy Measurements Abstract David White, Brian Goodlin, Aaron Gower, Duane Boning, Han Chen,

More information

Chapter 24. Geometric optics. Assignment No. 11, due April 27th before class: Problems 24.4, 24.11, 24.13, 24.15, 24.24

Chapter 24. Geometric optics. Assignment No. 11, due April 27th before class: Problems 24.4, 24.11, 24.13, 24.15, 24.24 Chapter 24 Geometric optics Assignment No. 11, due April 27th before class: Problems 24.4, 24.11, 24.13, 24.15, 24.24 A Brief History of Light 1000 AD It was proposed that light consisted of tiny particles

More information

Reduced surface roughness of solid thin films prepared by alternating-bias, radio-frequency magnetron sputtering

Reduced surface roughness of solid thin films prepared by alternating-bias, radio-frequency magnetron sputtering 2174 J. Opt. Soc. Am. B/ Vol. 20, No. 10/ October 2003 R. Rabady and I. Avrutsky Reduced surface roughness of solid thin films prepared by alternating-bias, radio-frequency magnetron sputtering Rabi Rabady

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

Spectrophotometric Methods of Refractive Indices Measurement

Spectrophotometric Methods of Refractive Indices Measurement Application Note Glass, ceramics, optics Spectrophotometric Methods of Refractive Indices Measurement Measuring the refractive index of single crystal optical materials using two methods Authors N.S. Kozlova

More information

Light: Geometric Optics

Light: Geometric Optics Light: Geometric Optics The Ray Model of Light Light very often travels in straight lines. We represent light using rays, which are straight lines emanating from an object. This is an idealization, but

More information

Real-Time Measurement of Thin Film Thickness During Plasma Processing1

Real-Time Measurement of Thin Film Thickness During Plasma Processing1 Plasmas and Polymers, Vol. 2, No. 4, 1997 Real-Time Measurement of Thin Film Thickness During Plasma Processing1 M. Sarfaty,2 C. Baum,2 R. Breun,2 N. Hershkowitz,2 J. L. Shohet,2 K. Nagpal,3 T. L. Vincent,3

More information

Wavelength scanning interferometry for measuring transparent films of the fusion targets

Wavelength scanning interferometry for measuring transparent films of the fusion targets Wavelength scanning interferometry for measuring transparent films of the fusion targets F. Gao *, X. Jiang, H. Muhamedsalih and H. Martin Centre for precision Technologies, University of Huddersfield,

More information

College Physics 150. Chapter 25 Interference and Diffraction

College Physics 150. Chapter 25 Interference and Diffraction College Physics 50 Chapter 5 Interference and Diffraction Constructive and Destructive Interference The Michelson Interferometer Thin Films Young s Double Slit Experiment Gratings Diffraction Resolution

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Chapter 36. Image Formation

Chapter 36. Image Formation Chapter 36 Image Formation Apr 22, 2012 Light from distant things We learn about a distant thing from the light it generates or redirects. The lenses in our eyes create images of objects our brains can

More information

Chapter 36. Diffraction. Dr. Armen Kocharian

Chapter 36. Diffraction. Dr. Armen Kocharian Chapter 36 Diffraction Dr. Armen Kocharian Diffraction Light of wavelength comparable to or larger than the width of a slit spreads out in all forward directions upon passing through the slit This phenomena

More information

Chapter 35 &36 Physical Optics

Chapter 35 &36 Physical Optics Chapter 35 &36 Physical Optics Physical Optics Phase Difference & Coherence Thin Film Interference 2-Slit Interference Single Slit Interference Diffraction Patterns Diffraction Grating Diffraction & Resolution

More information

The Importance Of 3D Profilometry & AFM Integration

The Importance Of 3D Profilometry & AFM Integration The Importance Of 3D Profilometry & AFM Integration Prepared by Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2011

More information

A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction

A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction , pp.41-45 http://dx.doi.org/10.14257/astl.2016.140.08 A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction Seung Dae Lee 1 1* Dept. of Electronic Engineering, Namseoul

More information

Diffraction-based approaches to the in-situ measurement of dimensional variations in components produced by thermoplastic micro- and nano-embossing

Diffraction-based approaches to the in-situ measurement of dimensional variations in components produced by thermoplastic micro- and nano-embossing Diffraction-based approaches to the in-situ measurement of dimensional variations in components produced by thermoplastic micro- and nano-embossing Hayden Taylor and Duane Boning 23 January 2008 Microsystems

More information

PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY

PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Benefiting from polarization effects on high-na imaging

Benefiting from polarization effects on high-na imaging Benefiting from polarization effects on high-na imaging Bruce W. Smith, Lena Zavyalova, Andrew Estroff Rochester Institute of Technology, Microelectronic Engineering Department 82 Lomb Memorial Drive,

More information

Supplementary Information

Supplementary Information Supplementary Information Interferometric scattering microscopy with polarization-selective dual detection scheme: Capturing the orientational information of anisotropic nanometric objects Il-Buem Lee

More information

Diffraction Gratings as Anti Reflective Coatings Noah Gilbert. University of Arizona ngilbert .arizona.edu Phone: (520)

Diffraction Gratings as Anti Reflective Coatings Noah Gilbert. University of Arizona   ngilbert .arizona.edu Phone: (520) Diffraction Gratings as Anti Reflective Coatings Noah Gilbert University of Arizona Email: ngilbertemail.arizona.edu Phone: (520)304 4864 Abstract: Diffraction gratings with sub wavelength spatial frequencies

More information

Determining Surface Roughness Using Extreme Ultraviolet Light. Joshua Marx

Determining Surface Roughness Using Extreme Ultraviolet Light. Joshua Marx Determining Surface Roughness Using Extreme Ultraviolet Light Joshua Marx A senior thesis submitted to the faculty of Brigham Young University in partial fulfillment of the requirements for the degree

More information

Physical & Electromagnetic Optics: Diffraction Gratings

Physical & Electromagnetic Optics: Diffraction Gratings 31/05/2018 Physical & Electromagnetic Optics: Diffraction Gratings Optical Engineering Prof. Elias N. Glytsis School of Electrical & Computer Engineering National Technical University of Athens Multiple

More information

Geometrical modeling of light scattering from paper substrates

Geometrical modeling of light scattering from paper substrates Geometrical modeling of light scattering from paper substrates Peter Hansson Department of Engineering ciences The Ångström Laboratory, Uppsala University Box 534, E-75 Uppsala, weden Abstract A light

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

E x Direction of Propagation. y B y

E x Direction of Propagation. y B y x E x Direction of Propagation k z z y B y An electromagnetic wave is a travelling wave which has time varying electric and magnetic fields which are perpendicular to each other and the direction of propagation,

More information

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES University of New Mexico UNM Digital Repository Optical Science and Engineering ETDs Engineering ETDs Fall 12-15-2016 SCATTEROMETRY OF 50 NM HALF PITCH FEATURES ruichao zhu university of new mexico Follow

More information

Chapter 38. Diffraction Patterns and Polarization

Chapter 38. Diffraction Patterns and Polarization Chapter 38 Diffraction Patterns and Polarization Diffraction Light of wavelength comparable to or larger than the width of a slit spreads out in all forward directions upon passing through the slit This

More information

Physical properties of prism foil and its pedagogical applications. Faculty of mathematics and physics, University of Ljubljana, Slovenia

Physical properties of prism foil and its pedagogical applications. Faculty of mathematics and physics, University of Ljubljana, Slovenia Physical properties of prism foil and its pedagogical applications Mihael Gojkošek, gojkosek@fmf.uni-lj.si Gorazd Planinšič, gorazd.planinsic@fmf.uni-lj.si Faculty of mathematics and physics, University

More information

Lesson 1 Scattering, Diffraction, and Radiation

Lesson 1 Scattering, Diffraction, and Radiation Lesson 1 Scattering, Diffraction, and Radiation Chen-Bin Huang Department of Electrical Engineering Institute of Photonics Technologies National Tsing Hua University, Taiwan Various slides under courtesy

More information

CARBON FIBER SURFACE MEASUREMENT USING 3D PROFILOMETRY

CARBON FIBER SURFACE MEASUREMENT USING 3D PROFILOMETRY CARBON FIBER SURFACE MEASUREMENT USING 3D PROFILOMETRY Prepared by Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Physics 123 Optics Review

Physics 123 Optics Review Physics 123 Optics Review I. Definitions & Facts concave converging convex diverging real image virtual image real object virtual object upright inverted dispersion nearsighted, farsighted near point,

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Compact spectrometer based on a disordered photonic chip Brandon Redding, Seng Fatt Liew, Raktim Sarma, Hui Cao* Department of Applied Physics, Yale University, New Haven, CT

More information

Ralf K. Heilmann CAT-GS: Critical-Angle Transmission Grating Spectrometer January 27,

Ralf K. Heilmann CAT-GS: Critical-Angle Transmission Grating Spectrometer January 27, Ralf K. Heilmann CAT-GS: Critical-Angle Transmission Grating Spectrometer January 27, 2009 1 Overview of CAT-GS Mission requirements: Effective area > 1000 cm 2 (0.3 1 kev) Spectral resolution E/ΔE > 3000

More information

HW Chapter 20 Q 2,3,4,5,6,10,13 P 1,2,3. Chapter 20. Classic and Modern Optics. Dr. Armen Kocharian

HW Chapter 20 Q 2,3,4,5,6,10,13 P 1,2,3. Chapter 20. Classic and Modern Optics. Dr. Armen Kocharian HW Chapter 20 Q 2,3,4,5,6,10,13 P 1,2,3 Chapter 20 Classic and Modern Optics Dr. Armen Kocharian Electromagnetic waves and matter: A Brief History of Light 1000 AD It was proposed that light consisted

More information

Diffraction Gratings

Diffraction Gratings Diffraction Gratings 1. How a Diffraction Grating works? Diffraction gratings are optical components with a period modulation on its surface. Either the transmission (or the phase) changes in a periodic

More information

Thin film solar cell simulations with FDTD

Thin film solar cell simulations with FDTD Thin film solar cell simulations with FDTD Matthew Mishrikey, Prof. Ch. Hafner (IFH) Dr. P. Losio (Oerlikon Solar) 5 th Workshop on Numerical Methods for Optical Nano Structures July 7 th, 2009 Problem

More information

SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY

SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY Prepared by Craig Leising 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2013

More information

Physics 272 Lecture 27 Interference (Ch ) Diffraction (Ch )

Physics 272 Lecture 27 Interference (Ch ) Diffraction (Ch ) Physics 272 Lecture 27 Interference (Ch 35.4-5) Diffraction (Ch 36.1-3) Thin Film Interference 1 2 n 0 =1 (air) t n 1 (thin film) n 2 Get two waves by reflection off of two different interfaces. Ray 2

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

STEEL SURFACE CHARACTERIZATION USING 3D PROFILOMETRY

STEEL SURFACE CHARACTERIZATION USING 3D PROFILOMETRY STEEL SURFACE CHARACTERIZATION USING 3D PROFILOMETRY Prepared by Andrea Novitsky 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information