Optimization of Modern Memory

Size: px
Start display at page:

Download "Optimization of Modern Memory"

Transcription

1 System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1

2 Agenda Introduction ECAD Geometry Translation SI/PI DDR3 Channel Extraction Design Automation and Schematic Creation DDR3 Transient Simulation Results 2

3 ANSYS for DDR3 Designs Problem Need for automated and accurate extraction of channel components and system verification for meeting strict DDR3 electrical standards and design specifications Solution Automatic physical extraction and system compliance verification for memory interface design using ANSYS Electromagnetics tools Result Detailed and accurate system simulation enables engineers to explorer pre and post layout verification for optimal memory interface design. 3 Pictures source:

4 DDR2/3 Overview DDR3 speed is MBps which is 2x DDR2 Tighter noise margins and need for less SSN DDR3 uses less voltage (1.5V from 1.2V) DDR3 has less SSN than DDR2 due to its fly by y termination 4 Information source: JEDEC 2007 DDR Workshop and HP DDR3 Application Note

5 DDR2/3 Timing Specifications Setup Margin & Hold Margin It s included timing margin and noise (voltage) margin DQ Jitter DQS V REF V IH(AC) Setup Time Hold Time V IH(DC) V IL(AC) V IL(DC) Setup Margin Hold Margin 5 DIMMs requiretighter specifications than down device memory, in the case of applying and input voltage value, DIMMs require both an AC & DC value. Down Device DDR2/3 memory generally requires only the DC input voltage value.

6 ANSYS Solution Electrical DesignerSI, SIwave, HFSS Mechanical Fluid Dynamic 6 Images and models courtesy of the Xilinx, Micron Technology, TE Connectivity.

7 Virtual System Prototyping Layout 3D CAD Virtual Prototype Electromagnetic Extraction Mechanical and Thermal Vendor Specific Driver/Receiver Models Vendor Specific VRM Models Electronics Virtual System Virtual Compliance 7

8 Virtual System Prototyping Layout Virtual Prototype 3D CAD 8

9 Xilinx ML605 Board 9 Courtesy of:

10 Layout Translation from Cadence Allegro 10 Courtesy of:

11 SODIMM board, Connector and Main Board 11 Courtesy of: Xilinx and

12 Virtual System Prototyping Layout 3D CAD Virtual Prototype Electromagnetic Extraction Mechanical and Thermal 12

13 Complex Multiple PCB Power Domains 13 Power Sources and Sinks 1.5V Memory and FPGA 1V8 2V5 2V5 FPGA 3V3 5V 12_P 12_P_IN Courtesy of:

14 SIwave DC IR solver SIwave has the ability to examine the full current path as well as each individual segment. Current/voltage/power levels set at each stage Power Supply Stability Capacitor Library Browser, PI Advisor Hot spots/bottlenecks that may cause reliability and excessive heating can be detected via current density and DC simulation results can be coupled to a thermal / airflow ifl simulation using ANSYS IcePak TM. Bidirectio onal Thermal Link SIwave Bi directional Coupling Power and Thermal Mapping Icepak 14

15 SIwave Design DDR3 1.5V Power Delivery Network with real VRM model 15V 1.5V 1.5V +/- 5% VRM 15 Courtesy of:

16 SIwave SYZ Parameter extraction Extraction example of mixed mode s parameters Power Rail and Signal Nets, DC and AC Vertex V6 to DDR3 SODIMM Memory ML605 PCB DDR3 204PIN SODIMM Connector Power/Ground separate referencing Some of thesignalreference to Power plane DDR3 204 SODIMM PCB Real VRM model 16

17 Signal Integrity S parameter Extraction & Analysis Insertion/Return Loss parameters Power/Ground Isolation effect in Frequency and Time Domain Main board with connector Main board only Main board with connector and SODIMM board 17

18 Virtual System Prototyping Layout 3D CAD Virtual Prototype Electromagnetic Extraction Mechanical and Thermal Vendor Specific Driver/Receiver Models Vendor Specific VRM Models Electronics 18

19 VRM(PTD08A010W) model from TI Fusion Digital Power designer from TI Generate real VRM model 19 Information source:

20 DDR3 PDN model DDR3 1.5V Power Delivery Network with real VRM model Multiple probing points displayed Top and bottom DDR3 package, FPGA and VRM U8 U18 20 Courtesy of: VRM

21 VRM Current Signature Profile Probe Point : VRM Output(Blue), FPGA Power(Brown) and DDR3 (Red). 1. VRM Output 2. DDR3 package 21

22 Virtual System Prototyping Layout 3D CAD Virtual Prototype Electromagnetic Extraction Mechanical and Thermal Vendor Specific Driver/Receiver Models Vendor Specific VRM Models Electronics Virtual System Virtual Compliance 22

23 System Level Signal and Power integrity Analysis While extraction and analysis are important steps of a design methodology, a system level analysis gives the engineer the ability to best balance and trade off design choices for the given performance and cost requirements. generally there are multiple lanes of serial data running side by side; these can CROSSTALK with each other. Tx + Tx + - Tx + Tx Rcv + + Rcv Rcv- + -Rcv Design Automation is essential; Automatic Schematic generation and Simulation Data analysis compare to Standard Deal with Various different data set. Power/Ground Bounce and Coupling to Signal Nets

24 Network Data Explorer Network Data Explorer (nd Explorer in Designer) 3 Stage Dynamic Link Design 36port model with mixed reference impedance Supports Touchstone 2.0 Bandwidth : DC to 20GHz Spice model Passivity and Causality enforcement 36port model of DDR3 channel 24

25 Automatic Schematic Creation SSN analysis DQS Zero Crossing and Eye Margins 25

26 DDR3 Channel Eye Diagrams Connector Effects on DQS line Zero Crossing Without Connector With Connector 26

27 DDR3 Channel Eye Diagrams and Regular Plot 27

28 DDR3 Channel Derating tables, slew rate 28

29 SODIMM board, Connector and Main Board SIwave, DesignerSI HFSS SIwave, DesignerSI and HFSS HFSS ANSYS, Inc. August 25, 2011

30 ANSYS Tools Overview DDR3 Solution for Electrical Simulations ECAD Geometry Translation ECAD Translators and AnsoftLinks SI/PI DDR3 Channel Extraction HFSS, SIwave Design Automation and Schematic Creation DesignerSI and SIwave DDR3 Transient Simulation Results DesignerSI, UDO s 30

31 Thank You 31

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach 1 ANSYS, Inc. Proprietary 2012 ANSYS, Inc. November 14, 2012 1-1 Agenda DDR Design Challenges How does simulation solve these

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012 Electromagnetics R14 Update Greg Pitner 1 HFSS Version 14 2 HFSS Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving: FEBI, IE Regions Physical Optics

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 ANSYS, Inc. September 14, Problem Statement Usually SI engineers extract only the package or the pcb due to the trade-offs

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner HFSS Solver On Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 Problem Statement Usually SI engineers extract only the package or the pcb due to the trade offs between capacity

More information

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 1 2015 ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 Printed Circuit Board Reliability Real world operating conditions = Multiphysics environment Electrical Reliability Power and Signal Integrity

More information

What s New in HyperLynx 8.0

What s New in HyperLynx 8.0 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

Apache s Power Noise Simulation Technologies

Apache s Power Noise Simulation Technologies Enabling Power Efficient i Designs Apache s Power Noise Simulation Technologies 1 Aveek Sarkar VP of Support Apache Design Inc, A wholly owned subsidiary of ANSYS Trends in Today s Electronic Designs Low-power

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Allegro PCB PDN Analysis User Guide

Allegro PCB PDN Analysis User Guide Product Version 16.6 October 2012 Document Last Updated On: November 20, 2012 1991 2013 Cadence Design Systems, Inc. All rights reserved. Portions Apache Software Foundation, Sun Microsystems, Free Software

More information

ANSYS HFSS: Layout Driven Assembly in ANSYS Electronics Desktop

ANSYS HFSS: Layout Driven Assembly in ANSYS Electronics Desktop Application Brief ANSYS HFSS: Layout Driven Assembly The ANSYS Electronics Desktop (AEDT) is an integrated environment with an easy-to-use interface that provides a streamlined workflow between ANSYS EM

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System MDI for 4x25G Copper and Fiber Optic IO Quadra (CFP4 proposal) Connector System Nov 7, 2011 Nathan Tracy, TE Connectivity Tom Palkert, Molex 4x25Gb/s MDI Potential Requirements Critical Needs: Excellent

More information

Key Challenges in High-End Server Interconnects. Hubert Harrer

Key Challenges in High-End Server Interconnects. Hubert Harrer Key Challenges in High-End Server Interconnects by Packaging Key Challenges Bus Bandwidths Large increase of bandwidths driven by multicore processors increasing frequency increasing bit lines Power high

More information

Realize Your Product Promise. DesignerRF

Realize Your Product Promise. DesignerRF Realize Your Product Promise DesignerRF Four-element antenna array showing current distribution and far-field gain, created in DesignerRF using layout editor and solved via HFSS with Solver on Demand technology

More information

APDS Wizard v4 for Nexxim/Designer 4.0 Specially optimized for DDR2/3 SI. Ansoft Korea Team

APDS Wizard v4 for Nexxim/Designer 4.0 Specially optimized for DDR2/3 SI. Ansoft Korea Team APDS Wizard v4 for Nexxim/Designer 4.0 Specially optimized for DDR2/3 SI Ansoft Korea Team APDS Wizard v4 All-Renewed, Enhanced Wizard. Version 4 means this new wizard would work with Designer/Nexxim v4

More information

DDR4 SO-DIMM Interposer For use with Keysight Logic Analyzers

DDR4 SO-DIMM Interposer For use with Keysight Logic Analyzers DDR4 SO-DIMM Interposer For use with Keysight Logic Analyzers FS2512 DDR4 SO-DIMM Interposer Key Features Quick and easy connection between the 260 pin DDR4 SODIMM memory bus connector and the U4164A Keysight

More information

Enabling SI Productivity Part 2. Venkatesh Seetharam Aaron Edwards

Enabling SI Productivity Part 2. Venkatesh Seetharam Aaron Edwards Enabling SI Productivity Part 2 Venkatesh Seetharam Aaron Edwards 1 Problem Statement SI engineers use simulation software to squeeze the most performance out of their design. They will tend to focus on

More information

DDR4 SO-DIMM Interposer For use with Keysight Logic Analyzers

DDR4 SO-DIMM Interposer For use with Keysight Logic Analyzers DDR4 SO-DIMM Interposer For use with Keysight Logic Analyzers FS2512 DDR4 SO-DIMM Interposer Key Features Quick and easy connection between the 260 pin DDR4 SODIMM memory bus connector and the U4154A/B

More information

HFSS 3D Components. Steve Rousselle, ANSYS. Build, Share, Conquer Release. Release ANSYS, Inc.

HFSS 3D Components. Steve Rousselle, ANSYS. Build, Share, Conquer Release. Release ANSYS, Inc. HFSS 3D Components Build, Share, Conquer 2015.0 Release Steve Rousselle, ANSYS 1 2015 ANSYS, Inc. What is a 3D Component? Exploded View Assembly of 3D Components Device 2 2015 ANSYS, Inc. Introduction

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

Model Connection Protocol extensions for Mixed Signal SiP

Model Connection Protocol extensions for Mixed Signal SiP Model Connection Protocol extensions for Mixed Signal SiP Taranjit Kukal (kukal@cadence.com) Dr. Wenliang Dai (wldai@cadence.com) Brad Brim (bradb@sigrity.com) Presented by: Yukio Masuko Cadence Note:

More information

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005 2005 IBM Power and Cooling Technology Symposium Advancements in Power Interconnect Presenter: Don Wood Date: September 21, 2005 Overview This presentation examines the following power interconnect trends

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc.

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. 1 ANSYS, Inc. September 21, Advanced Solvers: Finite Arrays with DDM 2 ANSYS, Inc. September 21, Finite Arrays

More information

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY New Technologies in CST STUDIO SUITE 2016 Outline Design Tools & Modeling Antenna Magus Filter Designer 2D/3D Modeling 3D EM Solver Technology Cable / Circuit / PCB Systems Multiphysics CST Design Tools

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Polliwog Product Lineup

Polliwog Product Lineup Polliwog Product Lineup Basic Suites PollEx PCB For reviewing ECAD Designs PollEx Logic For reviewing schematic designs PollEx BOM For importing MS/Excel format BOMs and intelligently reading in ASCII

More information

DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers

DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers DDR3 1867 MT/s bus analysis Supports Agilent 16900-series logic analyzers Includes protocol-decode software, probe configuration software,

More information

DDR4: Designing for Power and Performance

DDR4: Designing for Power and Performance DDR4: Designing for Power and Performance Agenda Comparison between DDR3 and DDR4 Designing for power DDR4 power savings Designing for performance Creating a data valid window Good layout practices for

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

LiTE Design PORTFOLIO

LiTE Design PORTFOLIO LiTE Design We Focus on scaling to new & latest technology in Electronic Design System, to develop & produce innovative products, services & solutions with our Potential that Exceeds the expectations of

More information

High Speed and High Power Connector Design

High Speed and High Power Connector Design High Speed and High Power Connector Design Taiwan User Conference 2014 Introduction High speed connector: Electrically small Using differential signaling Data rate >100Mbps High power connector: Static

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics)

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics) TITLE Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn (Samsung Electronics) Image Jin-Sung Youn, Jieun Park, Jinwon Kim, Daehee Lee, Sangnam Jeong, Junho Lee, Hyo-Soon

More information

Realize Your Product Promise. Icepak

Realize Your Product Promise. Icepak Realize Your Product Promise Icepak ANSYS Icepak delivers powerful technology for electronics thermal management. Simulating high-performance electronics cooling readily solves challenges in this rapidly

More information

Advances in 3D Simulations of Chip/Package/PCB Co-Design

Advances in 3D Simulations of Chip/Package/PCB Co-Design Advances in 3D Simulations of Chip/Package/PCB Co-Design Richard Sjiariel, CST AG Co-design environment Signal Integrity and timing Thermal analysis and stress Power Integrity and noise analysis EMC/EMI

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents AN 754: MIPI D-PHY Solution with Passive

More information

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA 2015.12.23 MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA AN-754 Subscribe Introduction to MIPI D-PHY The Mobile Industry Processor Interface (MIPI) is an industry consortium

More information

HyperLynx DDRx Interface Analysis. Student Workbook

HyperLynx DDRx Interface Analysis. Student Workbook HyperLynx DDRx Interface Analysis Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

TITLE. on SSD Boards. Image. Topic: Topic: Seong-Jin Mun, (Samsung Electronics Inc.)

TITLE. on SSD Boards. Image. Topic: Topic: Seong-Jin Mun, (Samsung Electronics Inc.) TITLE Topic: Far-Field o Nam elementum EMI commodo Analysis mattis. Pellentesque Methodology and Verification on SSD Boards Topic: o malesuada blandit euismod. Seong-Jin Mun, (Samsung Electronics Inc.)

More information

Impact of Embedded Capacitance on Test Socket and Test Board Performance Michael Giesler, 3M, Alexander Barr, 3M Yoshihisa Kawate,

Impact of Embedded Capacitance on Test Socket and Test Board Performance Michael Giesler, 3M, Alexander Barr, 3M Yoshihisa Kawate, Impact of Embedded Capacitance on Test Socket and Test Board Performance Michael Giesler, 3M, msgiesler@mmm.com Alexander Barr, 3M Yoshihisa Kawate, Sumitomo-3M Yuichi Tsubaki, Sumitomo-3M Silicon Valley

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

Wie entsteht ein EMV-Modell für eine integrierte Schaltung?

Wie entsteht ein EMV-Modell für eine integrierte Schaltung? Wie entsteht ein EMV-Modell für eine integrierte Schaltung? P. Schneider Overview Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation

More information

Design Con 2016 Optimal DDR4 System with Data Bus Inversion

Design Con 2016 Optimal DDR4 System with Data Bus Inversion Design Con 2016 Optimal DDR4 System with Data Bus Inversion Thomas To, Xilinx Inc. Changyi Su, Xilinx Inc. Juan Wang, Xilinx Inc. Dmitry Klokotov, Xilinx Inc. Lizhi Zhu, Xilinx Inc. John Schmitz, Xilinx

More information

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products

Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Chip-Package-Board Co-Design / Co-Verification Technology for DDR3 1.6G in Consumer Products Ji Zheng Director, Chip Package System Apache Design Solutions 2011 ASP-DAC Designer s Forum January 27, 2011

More information

Keysight U7231B, U7231C DDR3 and LPDDR3 Compliance Test Application For Infiniium Series Oscilloscopes DATA SHEET

Keysight U7231B, U7231C DDR3 and LPDDR3 Compliance Test Application For Infiniium Series Oscilloscopes DATA SHEET Keysight U7231B, U7231C DDR3 and LPDDR3 Compliance Test Application For Infiniium Series Oscilloscopes DATA SHEET Test, Debug and Characterize Your DDR3 and LPDDR3 Designs Quickly and Easily The Keysight

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

AS0260 Image Sensor with Power & Clocking Reference Design

AS0260 Image Sensor with Power & Clocking Reference Design Design Note DN05111/D AS0260 Image Sensor with Power & Clocking Reference Design Device Application Output Voltage Output Current Dropout Voltage Package NCP163 Image Sensor 2.8 V & 1.8 V 250 ma 80 mv

More information

Tektronix Innovation Forum

Tektronix Innovation Forum Tektronix Innovation Forum Enabling Innovation in the Digital Age DisplayPort 1.2 Spec Updates and overview of Physical layer conformance testing Presenter: John Calvin DisplayPort 1.2 Spec Updates Agenda

More information

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App Chong Min-Jie Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Page Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Min-Jie Chong HPS Product Manager & Planner Oscilloscope

More information

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Background Low-power (LP) SDRAM, including both low-power double data rate (LPDDR) and

More information

Parallel connection / operations and current share application note

Parallel connection / operations and current share application note Parallel connection / operations and current share application note Overview This document will examine method for active load sharing, basic criteria and performances of such a function on Glary UH and

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer Designing High-Speed Memory Subsystem using DDR Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 Your Design for Excellence Partner Since 1997 EDA Direct has helped

More information

DisplayPort Testing Challenges

DisplayPort Testing Challenges DisplayPort Testing Challenges U N Vasudev May 6 th 2013 Agenda DisplayPort Overview DisplayPort 1.2 updates DisplayPort 1.2 Transmitter Testing What s New: T2, TP3, TP3EQ Physical Layer Test Overview

More information

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence ELECTRONICS MANUFACTURE-The In-Circuit Test sequence In-Circuit Test comprises several sections, each consisting of a series of tests on individual devices. By testing devices individually, failures can

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Hardware Design Guidelines for Freescale s High-Performance Digital Signal Processors

Hardware Design Guidelines for Freescale s High-Performance Digital Signal Processors June 2010 Hardware Design Guidelines for Freescale s High-Performance Digital Signal Processors Colin McEwan Systems and Applications Engineer Agenda Introduction and Review Agenda The Freescale AMC ECO-System

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive 2018 BiTS Workshop Image: pilgrims49 / istock COPYRIGHT NOTICE The presentation(s)/poster(s) in this publication comprise the Proceedings

More information

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM DATASHEET ALLEGRO PCB SI 630 VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS Cadence Allegro PCB SI 630 a key PCB Signal Integrity tool within the Allegro system interconnect design

More information

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim Rod Strange Business Development Manager Teraspeed Consulting A Division of Samtec April 2016 Outline Objective/Goal DDR4 vs. DDR3 from the SI/PI

More information

How to Solve DDR Parametric and Protocol Measurement Challenges

How to Solve DDR Parametric and Protocol Measurement Challenges How to Solve DDR Parametric and Protocol Measurement Challenges Agilent DTD Scopes and Logic Analyzer Division Copyright 2008 Agilent Technologies Solve DDR Phy & Protocol Challenges Page 11 25 September

More information

Design Guidelines for 100 Gbps - CFP2 Interface

Design Guidelines for 100 Gbps - CFP2 Interface 2014.01.16 AN-684 Subscribe This document shows an example layout design that implements a 4 x 25/28 Gbps CFP2 module interface that meets the insertion and return loss mask requirements proposed in the

More information

Automated Transient Thermal Analysis

Automated Transient Thermal Analysis Automated Transient Thermal Analysis with ANSYS Icepak and Simplorer Using EKM Eric Lin Lalit Chaudhari Shantanu Bhide Vamsi Krishna Yaddanapudi 1 Overview Power Map Introduction Need for Chip-co Design

More information

Simulation Strategies for Massively Parallel Supercomputer Design

Simulation Strategies for Massively Parallel Supercomputer Design Simulation Strategies for Massively Parallel Supercomputer Design Authored by: Ansoft Corporation Special Thanks to: Cray Presentation #2 Ansoft 2003 / Global Seminars: Delivering Performance Introduction

More information

DisplayPort 1.4 Webinar

DisplayPort 1.4 Webinar DisplayPort 1.4 Webinar Test Challenges and Solution Yogesh Pai Product Manager - Tektronix 1 Agenda DisplayPort Basics Transmitter Testing Challenges DisplayPort Type-C Updates Receiver Testing Q and

More information

90000 DSO/DSA Series Oscilloscopes

90000 DSO/DSA Series Oscilloscopes DDR4 Keysight Compliance Infiniium Test Bench 90000 DSO/DSA Series Oscilloscopes ADS DDR4 DesignGuide and Compliance Test Bench 1 Contents Installing the DDR4 Compliance Test Bench... 2 Prerequisites...

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1 19-0849; Rev 1; 12/07 10-Bit LVDS Serializer General Description The serializer transforms 10-bit-wide parallel LVCMOS/LVTTL data into a serial high-speed, low-voltage differential signaling (LVDS) data

More information

Technical Note DDR2 (Point-to-Point) Package Sizes and Layout Basics

Technical Note DDR2 (Point-to-Point) Package Sizes and Layout Basics Introduction Technical Note DDR2 (Point-to-Point) Package Sizes and Layout Basics Introduction Point-to-point designers face many challenges when laying out a new printed circuit board (PCB). The designer

More information

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design Session 4a Burn-in & Test Socket Workshop 2000 Burn-in Board Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

CPS Industrial Power Supply

CPS Industrial Power Supply CPS Industrial Power Supply Power Supply Specification Model Number: FSAK C Series Industrial quality, 1U high, multiple output power supply AC Input: full range, active PFC. DC Output: 6 configurable,

More information

Toshiba Case Study RF Module Shrink (TransferJet TM )

Toshiba Case Study RF Module Shrink (TransferJet TM ) Toshiba Case Study RF Module Shrink (TransferJet TM ) These slides are an abridgement of two presentations given by Toshiba at the Zuken Innovation World (ZIW) conference held in Japan in 2012 and 2013.

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Using ADS to Post Process Simulated and Measured Models. Presented by Leon Wu March 19, 2012

Using ADS to Post Process Simulated and Measured Models. Presented by Leon Wu March 19, 2012 Using ADS to Post Process Simulated and Measured Models Presented by Leon Wu March 19, 2012 Presentation Outline Connector Models From Simulation Connector Models From Measurement The Post processing,

More information

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits)

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) General Description ADQVD1B16 DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) The ADATA s ADQVD1B16 is a 256Mx64 bits 2GB(2048MB) DDR2-800(CL4) SDRAM EPP memory module, The SPD is programmed to

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus EM Analysis of High Frequency Printed Circuit Boards Dr.-Ing. Volker Mühlhaus volker@muehlhaus.com Agenda EM tools overview When to use EM analysis Application examples: Filters The importance of meshing

More information