Electrical optimization and simulation of your PCB design

Size: px
Start display at page:

Download "Electrical optimization and simulation of your PCB design"

Transcription

1 Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja Copyright CADCAM Group 2015

2 The Challenge of Validating a Design.. 2 Copyright CADCAM Group 2015

3 3 Copyright CADCAM Group 2015 A Complete Analysis Solution Address multiple design areas through virtual prototyping Integrated technologies to accelerate adoption Ease-of-use enables ALL engineers to perform analysis PCB designers thru SI/PI specialists

4 4 Copyright CADCAM Group 2015 Signal Integrity Concerns Traditional signal integrity Crosstalk Overshoot Timing Impedance DDRx design Timing ODT selection Multi-gigabit SerDes technologies Loss management, Via design Length matching Impedance discontinuities

5 HyperLynx: LineSim SI Industry-renowned ease of use Accurate modeling of trace loss, impedance & coupling Terminator wizard Test SI issues, perform crosstalk analysis & parametric sweeps Stackup planning Industry-leading for SERDES, including fast eye diagram analysis, S-parameter simulation, and BER prediction 5 Copyright CADCAM Group 2015

6 HyperLynx: BoardSim SI Simulate post-routed data from *any* PCB tool Interactive simulations Run batch simulation on many nets at once Parametric sweeps Verify DDR/2/3 Designs Crosstalk analysis Advanced Timing Analysis SERDES Fast-eye analysis IBIS-AMI channel analysis 6 Copyright CADCAM Group 2015

7 7 Copyright CADCAM Group 2015 HyperLynx DDRx Simulation Validate LPDDR and DDRx timing and SI designs Analyze timing for both address and data buses Measurements for clock to strobe skew Measure timing and SI on all signal edges Advanced crosstalk simulation Analysis created using an interview process

8 8 Copyright CADCAM Group 2015 Multi-Gigabit Channel Analysis Fast time domain and statistical analysis Simulate millions to billions of bits for accurate BER prediction Generate the channels worst case bit sequence PRBS or 8B/10B protocol dependant Always produces a maximally closed eye Bounds Dj (deterministic jitter) Validate BER Eye density plots BER plots Support for IBIS-AMI, SPICE, S-Parameters

9 9 Copyright CADCAM Group 2015 Full-Wave 3D Via Modeling Some designs require more accurate via models Can contribute significant loss at higher frequencies Need the ability to accurately tune the via design Separation Stitching Entry angle, etc. 3D simulation provides highly accurate models up to 100+ Gbps frequencies

10 10 Copyright CADCAM Group D Full-Wave EM Modeling HyperLynx 3D EM Based on IE3D & Nimbic technology Fastest, highest-capacity, full 3D EM simulation in the market Creates high-frequency parasitic models needed for circuit simulation Frequency-dependent parasitic extraction of metallic structures Respected for EM design & verification Over 1600 customers History of production proven use Employs a Unique 3D Integral Equation Method to Solve Maxwell s Equation Governing Electrical Behavior of Metallic Structures

11 11 Copyright CADCAM Group 2015 Application of HyperLynx 3D EM EM Simulation is Used in All High-Frequency Design Applications Wireless Cell phone, routers, Bluetooth, GPS, etc. RFID/zigbee tag design Hi speed digital 10G/40G+ internet, Fibrechannel, XAUI, PCIE On-chip SerDes, package Military/aerospace Secure communications/network Large phase array/imaging antenna Materials research

12 12 Copyright CADCAM Group 2015 Power Integrity Analysis DC drop analysis Identify excessive voltage drop and high current densities Determine if there is enough copper & stitching vias Batch analysis of all power nets AC power plane analysis Optimize capacitor selection and mounting Verify power supply impedance at IC power pins Analyze voltage ripple

13 13 Copyright CADCAM Group 2015 IR Drop Design Issues Not enough voltage getting to ICs from power supplies leads to IC malfunction High current densities in voltage island neck-downs and vias Leads to dielectric or via breakdown and board failure High Current Density Excessive Voltage Drop

14 14 Copyright CADCAM Group 2015 Decoupling Design Develop decoupling methodologies to Properly design stackup for power Design capacitor mounting structures Make intelligent capacitor selections Goal is to Achieve IC Target Impedances for power Set by voltage ripple requirements

15 15 Copyright CADCAM Group 2015 Plane Noise Analysis HyperLynx Plane Noise can help identify voltage ripple exceeding IC power pin specifications Plane noise is a result of target impedance and switching currents

16 16 Copyright CADCAM Group 2015 Thermal Analysis Allows PCB designers to identify component and PCB hot spots What-if analysis on Component placement Stackup design Mechanical cooling techniques Quick & accurate analysis including All PCB copper, Packages, Pins, vias, screws, etc Environment including enclosure Heat sinks and Airflow

17 17 Copyright CADCAM Group 2015 Analogue Simulation Scalable simulation solution built on xdxdesigner Analog and full mixed signal simulation capability Support for analog and digital primitives Standard language support VHDL, VHDL-AMS, Spice, & Verilog-A Power ADMS and Eldo simulation kernels Full featured waveform viewing with EZWave Including complex waveform calculations

18 18 Copyright CADCAM Group 2015 Even if you Simulate? Does one simulate Every net? Does one consider EMI? Does one analyze the PDN?

19 19 Copyright CADCAM Group 2015 Design Guideline Compliance the Hard Way Final Signoff SI/EMC Expert Design Guideline Interpretation Design Guideline Deviations Visual Inspection Low Coverage Time Consuming Error Prone

20 20 Copyright CADCAM Group 2015 Electrical Sign-Off Minimize problems found in signoff Existing Constraints Constraints Entry Design Entry SI/PI Analysis Layout In Process Checking Minimize signoff bottleneck Rule Set EMI/EMC errors PI errors Electrical Signoff PI simulation SI errors SI simulation OK

21 21 Copyright CADCAM Group 2015 HyperLynx DRC PCB Design Validation Rules-based verification of PCB Finds problems before building prototypes Create custom design rules to identify your common problems 1. DRCs 3. Problem 2. Violation List 5. Board Display 4. Advice

22 22 Copyright CADCAM Group 2015 Custom Checks Checks unique to your product Check capturing your IP Emerging Technology Checks that differentiate the quality of your work!

23 23 Copyright CADCAM Group 2015 Crossing Domains Sometimes independent analysis addresses only part of the issue Integrated Analysis flow allows for simulation across domains

24 24 Copyright CADCAM Group 2015 Simulating Via-to-Via Crosstalk Mixed signal & power integrity simulation Via crosstalk through power planes

25 25 Copyright CADCAM Group 2015 PI/Thermal Co-Simulation Co-Simulation capabilities between PI and Thermal Power Integrity engine simulates power nets provides power density map to thermal solver Thermal solver runs thermal analysis with component dissipation & results from PI simulation

26 26 Copyright CADCAM Group 2015 Analysis is Core to the Design Process PCB design is more than schematic and layout We know designs are becoming more complex To meet reliability and time-to-market Project engineers must do PCB Analysis HyperLynx is now mandated as part of our PCB design process. - SEAKR Engineering Schematic Constraints Layout Prototype Test /Bringup PCB Analysis PCB Analysis PCB Analysis

27 27 Copyright CADCAM Group 2015 A Complete Analysis Solution Reduce design cycle time Develop constraints for first time right design Improve design reliability Validate design intent before prototyping Verification in multiple domains Accurate & fast time-to-results HyperLynx enables ALL engineers to drive analysis

What s New in HyperLynx 8.0

What s New in HyperLynx 8.0 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Optimization of Modern Memory

Optimization of Modern Memory System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1 Agenda Introduction ECAD Geometry Translation SI/PI

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Best practices for EMI filtering and IC bypass/decoupling applications

Best practices for EMI filtering and IC bypass/decoupling applications X2Y Component Connection and PCB Layout Guidelines Best practices for EMI filtering and IC bypass/decoupling applications X2Y Attenuators, LLC 1 Common X2Y Circuit Uses EMI FILTERING Conducted and Radiated

More information

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY New Technologies in CST STUDIO SUITE 2016 Outline Design Tools & Modeling Antenna Magus Filter Designer 2D/3D Modeling 3D EM Solver Technology Cable / Circuit / PCB Systems Multiphysics CST Design Tools

More information

HyperLynx DDRx Interface Analysis. Student Workbook

HyperLynx DDRx Interface Analysis. Student Workbook HyperLynx DDRx Interface Analysis Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer Designing High-Speed Memory Subsystem using DDR Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 Your Design for Excellence Partner Since 1997 EDA Direct has helped

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

HYPERLYNX DDR3 Wizard

HYPERLYNX DDR3 Wizard HYPERLYNX DDR3 Wizard w w w. m e n t o r. c o m HyperLynx DDR3 Wizard Virtual Lab Exercise Guide This tutorial outlines the steps for completing a DDR3 Wizard DDR analysis example in HyperLynx BoardSim.

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS w w w. m e n t o r. c o m Creating a 3D Via Model in HyperLynx for Channel Analysis This lab will illustrate the integrated 3D via solver within

More information

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 1 2015 ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 Printed Circuit Board Reliability Real world operating conditions = Multiphysics environment Electrical Reliability Power and Signal Integrity

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

Personal Automated Design System. Release Highlights

Personal Automated Design System. Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.1 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor

More information

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim Rod Strange Business Development Manager Teraspeed Consulting A Division of Samtec April 2016 Outline Objective/Goal DDR4 vs. DDR3 from the SI/PI

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

Personal Automated Design System Release Highlights

Personal Automated Design System Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.3 February 2018 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS

ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS 39/B, Yogashram Society, Behind Manekbaug Society, Ahmedabad 380015, INDIA TEL - +91-9825366832 EMAIL: gaurav_jogi@yahoo.co.in URL: http://gjmicrosys.tripod.com

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM DATASHEET ALLEGRO PCB SI 630 VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS Cadence Allegro PCB SI 630 a key PCB Signal Integrity tool within the Allegro system interconnect design

More information

100GbE Architecture - Getting There... Joel Goergen Chief Scientist

100GbE Architecture - Getting There... Joel Goergen Chief Scientist 100GbE Architecture - Getting There... Joel Goergen Chief Scientist April 26, 2005 100GbE Architecture - Getting There Joel Goergen Force10 Networks joel@force10networks.com Subject : 100GbE Architecture

More information

Allegro PCB PDN Analysis User Guide

Allegro PCB PDN Analysis User Guide Product Version 16.6 October 2012 Document Last Updated On: November 20, 2012 1991 2013 Cadence Design Systems, Inc. All rights reserved. Portions Apache Software Foundation, Sun Microsystems, Free Software

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

HyperLynx 教程. Pre-layout (LineSim):

HyperLynx 教程. Pre-layout (LineSim): HyperLynx 教程 Pre-layout (LineSim): Signal-integrity and EMC analysis Crosstalk and differential-signal analysis Analysis for gigabit-per-second, SERDES-based designs Stackup and impedance planning Post-layout

More information

Polliwog Product Lineup

Polliwog Product Lineup Polliwog Product Lineup Basic Suites PollEx PCB For reviewing ECAD Designs PollEx Logic For reviewing schematic designs PollEx BOM For importing MS/Excel format BOMs and intelligently reading in ASCII

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

IDT PEB383 QFP Board Design Guidelines

IDT PEB383 QFP Board Design Guidelines IDT PEB383 QFP Board Design Guidelines February 2010 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009 GENERAL

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

LiTE Design PORTFOLIO

LiTE Design PORTFOLIO LiTE Design We Focus on scaling to new & latest technology in Electronic Design System, to develop & produce innovative products, services & solutions with our Potential that Exceeds the expectations of

More information

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Background Low-power (LP) SDRAM, including both low-power double data rate (LPDDR) and

More information

Wie entsteht ein EMV-Modell für eine integrierte Schaltung?

Wie entsteht ein EMV-Modell für eine integrierte Schaltung? Wie entsteht ein EMV-Modell für eine integrierte Schaltung? P. Schneider Overview Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation

More information

Parallel connection / operations and current share application note

Parallel connection / operations and current share application note Parallel connection / operations and current share application note Overview This document will examine method for active load sharing, basic criteria and performances of such a function on Glary UH and

More information

Tsi384 Board Design Guidelines

Tsi384 Board Design Guidelines Tsi384 Board Design Guidelines September 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc. GENERAL

More information

Keysight Technologies Quick Start Guide for ADS in Power Electronics. Demo Guide

Keysight Technologies Quick Start Guide for ADS in Power Electronics. Demo Guide Keysight Technologies Quick Start Guide for ADS in Power Electronics Demo Guide 02 Keysight Quick Start Guide for ADS in Power Electronics - Demo Guide Why Evaluate ADS? Trends in Switched Mode Power Supplies

More information

Graser User Conference Only

Graser User Conference Only High-Speed Interface Driven PCB Design (Net Group, Aixx, Floorplanning etc) Mika Ho / Graser 31/Oct/2014 Topic Chapter One An Interface Example DDRx An Example: Timing Relationship for DDR3 Case Description

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8882 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, MDDI, USB, SATA, and Gigabit Ethernet

More information

PADS Professional Release Highlights

PADS Professional Release Highlights PADS Professional Release Highlights Software Version PADS Professional VX.2.3 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1.

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1. I N T E R C O N N E C T A P P L I C A T I O N N O T E Advanced Mezzanine Card (AMC) Connector Routing Report # 26GC011-1 September 21 st, 2006 v1.0 Advanced Mezzanine Card (AMC) Connector Copyright 2006

More information

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach 1 ANSYS, Inc. Proprietary 2012 ANSYS, Inc. November 14, 2012 1-1 Agenda DDR Design Challenges How does simulation solve these

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics)

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics) TITLE Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn (Samsung Electronics) Image Jin-Sung Youn, Jieun Park, Jinwon Kim, Daehee Lee, Sangnam Jeong, Junho Lee, Hyo-Soon

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Tsi381 Board Design Guidelines

Tsi381 Board Design Guidelines Tsi381 Board Design Guidelines September 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc. GENERAL

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

ASIX USB-to-LAN Applications Layout Guide

ASIX USB-to-LAN Applications Layout Guide ASIX USB-to-LAN Applications Revision 1.0 Dec. 11th, 2007 1 Revision Date Description 1.0 2007/12/11 New release. ASIX USB-to-LAN Applications Revision History 2 Content 1. Introduction...4 2. 4-Layer

More information

IP1001 LF DESIGN & LAYOUT GUIDELINES

IP1001 LF DESIGN & LAYOUT GUIDELINES Index 1 Purpose...2 2 Magnetic trace routing...2 3 Power Supply Plane & GND Plane...3 4 PHY interface...3 5 Trace routing & Placement...3 6 ESD protection...3 7 EMI Supression...3 1/7 April 17 2008. Ver:1.5

More information

Outline. Darren Wang ADS Momentum P2

Outline. Darren Wang ADS Momentum P2 Outline Momentum Basics: Microstrip Meander Line Momentum RF Mode: RFIC Launch Designing with Momentum: Via Fed Patch Antenna Momentum Techniques: 3dB Splitter Look-alike Momentum Optimization: 3 GHz Band

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

An Overview of High-Speed Serial Bus Simulation Technologies

An Overview of High-Speed Serial Bus Simulation Technologies An Overview of High-Speed Serial Bus Simulation Technologies Asian IBIS Summit, Beijing, China September 11, 27.25.2.15.1.5 -.5 -.1 Arpad Muranyi arpad_muranyi@mentor.com Vladimir Dmitriev-Zdorov -.15

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Test Methods for DC/DC Power Modules

Test Methods for DC/DC Power Modules Test Methods for DC/DC Power Modules Design Note 027 Flex Power Modules Precautions Abstract A user may have the desire to verify or characterize the performance of DC/DC power modules outside the system

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012 Electromagnetics R14 Update Greg Pitner 1 HFSS Version 14 2 HFSS Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving: FEBI, IE Regions Physical Optics

More information

DisplayPort 1.4 Webinar

DisplayPort 1.4 Webinar DisplayPort 1.4 Webinar Test Challenges and Solution Yogesh Pai Product Manager - Tektronix 1 Agenda DisplayPort Basics Transmitter Testing Challenges DisplayPort Type-C Updates Receiver Testing Q and

More information

Serial Link Analysis and PLL Model

Serial Link Analysis and PLL Model 25. July 2007 Serial Link Analysis and PLL Model September 11, 2007 Asian IBIS Summit, Beijing China Huang Chunxing huangchunxing@huawei.com www.huawei.com HUAWEI TECHNOLOGIES Co., Ltd. Agenda High-speed

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

Agilent Bead Probe Technology

Agilent Bead Probe Technology Agilent Bead Probe Technology Page 1 Abstract Lead-free, shrinking geometries, new packages and high-speed signaling present new challenges for ICT. The impact will be more defects, loss of access, lower

More information

Application Note: AN-146. Guidelines for Effective LITELINK Designs. AN-146-R03 1

Application Note: AN-146. Guidelines for Effective LITELINK Designs. AN-146-R03  1 Guidelines for Effective LITELINK Designs AN-146-R03 www.ixysic.com 1 1. Introduction Two of the important functions provided by LITELINK to the host systems are high-voltage isolation between the host

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

RMAP software for resistance verification of power nets and ESD protection structures

RMAP software for resistance verification of power nets and ESD protection structures RMAP software for resistance verification of power nets and ESD protection structures Maxim Ershov*, Meruzhan Cadjan*, Yuri Feinberg*, and Thomas Jochum** (*) Silicon Frontline Technology, (**) Intersil

More information

AS0260 Image Sensor with Power & Clocking Reference Design

AS0260 Image Sensor with Power & Clocking Reference Design Design Note DN05111/D AS0260 Image Sensor with Power & Clocking Reference Design Device Application Output Voltage Output Current Dropout Voltage Package NCP163 Image Sensor 2.8 V & 1.8 V 250 ma 80 mv

More information

Personal Automated Design System. Release Highlights

Personal Automated Design System. Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

MECT Series Final Inch Designs in SFP+ Applications. Revision Date: August 20, 2009

MECT Series Final Inch Designs in SFP+ Applications. Revision Date: August 20, 2009 MECT Series Final Inch Designs in SFP+ Applications Revision Date: August 20, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group LLC COPYRIGHTS,

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with INTERNAL INPUT TERMINATION

3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with INTERNAL INPUT TERMINATION 3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with TERNAL PUT TERMATION FEATURES Selects among four differential inputs Guaranteed AC performance over temp and voltage: DC-to > 3.2Gbps data rate throughput

More information

High Speed and High Power Connector Design

High Speed and High Power Connector Design High Speed and High Power Connector Design Taiwan User Conference 2014 Introduction High speed connector: Electrically small Using differential signaling Data rate >100Mbps High power connector: Static

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

User s Guide. Mixed Signal DSP Solutions SLLU011

User s Guide. Mixed Signal DSP Solutions SLLU011 User s Guide July 2000 Mixed Signal DSP Solutions SLLU011 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product

More information

CrossLink Hardware Checklist Technical Note

CrossLink Hardware Checklist Technical Note FPGA-TN-02013 Version 1.1 August 2017 Contents Acronyms in This Document... 3 Introduction... 4 Power Supplies... 5 CrossLink MIPI D-PHY and PLL Power Supplies... 5 Power Estimation... 6 Configuration

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff (SiSoft) Mike Steinberger (SiSoft) Walter Katz (SiSoft) Barry Katz (SiSoft) Adge Hawes (IBM) Kent

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

EECE 615: High-Frequency Design Techniques

EECE 615: High-Frequency Design Techniques Department of Electrical and Computer Engineering EECE 615: High-Frequency Design Techniques Prerequisites: EECE 417, PHYS 204C Required for all MSEE majors Catalog Description:Study of the problems associated

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Welcome. Joe Civello ADS Product Manager Agilent Technologies

Welcome. Joe Civello ADS Product Manager Agilent Technologies Welcome Joe Civello ADS Product Manager Agilent Technologies Agilent Technologies 2011 Agenda RF & microwave market trends & how Agilent EEsof is investing its R&D Multi-technology design with ADS 2011

More information

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Leveraging IBIS Capabilities for Multi-Gigabit Interfaces Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Overview In writing EDI CON paper Signal Integrity Methodology

More information