GCU ARCHITECTURE PROPOSAL

Size: px
Start display at page:

Download "GCU ARCHITECTURE PROPOSAL"

Transcription

1 GCU ARCHITECTURE PROPOSAL M. Bellato, R. Brugnera, F. Dal Corso, S. Dusini, A. Garfagnini, R. Isocrate, I. Lippi, G. Meng, D. Pedretti INFN and University of Padova

2 GCU ARCHITECTURE PROPOSAL LCU DCS VD HV GCU ADU ETHERNET SWITCH DAQ POWER ~ GCU should : CLOCK And TRIGGER CLK TRIGGER Store ADU data waiting for a trigger Send trigger request to LCU Receive the trigger validations Send data to DAQ Provide power and slow control to HV module

3 Data and trigger processing scheme JUNO PMT 62.5 MHz Clock TRIGGER REQUESTS ADCs (ASIC) Trigger primitive generator Trigger matching 48 bit TIMESTAMP 24 bit EV COUNTER Digital pipeline Readout Window 100Mb/s Ethernet L2 Trigger Accept Backpressure DATA READOUT ASYNC RESETS Processing element Derandomizer FAST CONTROLS PARAMETERS Backpressure MULTIPLEXED READOUT Processing Element Time Tag TO DAQ FARM Event Tag FE Identifier

4 Data synchronization of distributed readout

5 Asynchronous data link IPBUS IPbus is a simple, IP-based control protocol Originally created by Jeremy Mans, et al in 2009/2010 Now all s/w and f/w development is being done by a UK collaboration University of Bristol and Imperial College London Designed for controlling CMS trigger and readout h/w Control standard for μtca or TCA-based hardware over Gigabit Ethernet Protocol describes basic transactions needed to control h/w Read/write, nonincrementing read/write, etc, etc. UDP is the recommended transport implementation Easiest to implement in firmware Uses relatively few FPGA resources IPBUS is very interesting for JUNO detector, can be use for asynchronous data link to GCU for DAQ and slow control using as transport layer commercial fast Ethernet switches, giving to software developers directly visibility to GCUs FPGAs registers

6 Clock and GCU time stamps synchronization LCU CGU1 GCU2 GCUn RTT1 RTT2 GCU1 waits GTC value GCU2 waits GTC value OFS1 OFS2

7 Alternative (under evaluation) Clock and GCU time stamps synchronization via synchronous Ethernet (IEEE1588) IEEE 1588 is a protocol designed to synchronize real-time clocks in the nodes of a distributed system that communicate using a network the big advantage to be exploited is that the same infrastructure can be used for both data transmission and synchronization NETWORK We can evaluate to use of the Texas Instrument DP83640 physical layer Synchronous Ethernet at 100 Mb/s, a feature unique to the DP83640, enables very accurate synchronization between IEEE 1588 Precision Time Protocol (PTP) systems connected via Ethernet. Using this feature, it is possible to maintain sub-nanosecond master to slave synchronization precision in PTP applications operating within required network topological constraints. It is also possible to generate a slave node clock output which is locked and aligned to the Master PTP clock.

8 Ethernet POE Switch system 48Vdc POE PDS ETHERNET PHY LAYER ASYNCRONOUS 100Mbit Ethernet GCU block diagram IPBUS CORE FPGA SLOW CONTROL ADC DECODE OPTO ADC SPI bus ADU ANALOG FE HV REGS PWM ADC DAC VD Clock & Trigger cards LCU LOCAL CLOCK CABLE DRIVER CABLE EQUALIZER JITTER CLEANER 125Mbit data 62.5MHz clock TRG AND EVENT DISP TRIGGER ALG DDR CTL CORE BITSTREAM SELECTOR 20/28 bit 500MHz 64bit 400MHz BITSTREAM FLASH GOLDEN DDR RAM 2Gb CURRENT PMT

9 FPGA reprogramming and disaster recovering The 7 th JUNO collaboration meeting Xiamen January 2016 Production D[0..7] DONE D[0..7] MODE PINS Golden CE CEO CLK CCLK CSI_B EN_EXT_SEL RDWR_B OE/RESET INIT_B CF I/O REVISION_SEL BUSY PROG_B I/O 62.5MHz External clock Q POR reset

10 POE and Power distribution system Power over Ethernet or PoE is a system which pass electrical power along with data on Ethernet cabling. This allows a single cable to provide both data connection and electrical power to devices

11 POE and Power distribution system 48v to HV module BUCK REG +1.0v PICOR Active EMI Filter +48v Flyback 48v - 12v +12v BUCK REG BUCK REG +2.5v +1.8v Ethernet pairs BUCK REG +3.3v BUCK REG +1.5v BUCK REG +5v LDO? ADU

12 POE and Power distribution system Power over Ethernet Implementation on EDISON Board Noise measurement on 1.2 volt Power 50ohm AC coupled probe Istvan Novak, What's the Best Method for Probing a PDN? August 2012

13 Cable driver and equalizer evaluation The 62.5MHz Reference clock and the Trigger information are send to LCU system via ~80meters of UTP cable. We have done some measurements in order to evaluate if a cat5e UTP cable coupled by cable driver and equalizers could be reliable for JUNO applications. Tektronics DTG5274 Data and Timing generator Up to 2.7Gs/s Measurements setup 100mt UTP cable AGILENT DS691204A DSO 12GHz bandwith 40Gs/s DS30BA101 evaluation module Cable driver DC to Gs/s DS30EA101 evaluation module Cable equalizer DC to Gs/s

14 Cable driver and equalizer evaluation Brief description of choose cable driver and equalizer DS30BA101 evaluation module Cable driver DC to Gs/s DS30EA101 evaluation module Cable equalizer DC to Gs/s

15 Cable driver and equalizer evaluation Measurements: 62.5MHz Clock transmission, Jitter evaluation 125Mbit/s Data transmission PRBS-9 test pattern 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding)

16 Cable driver and equalizer evaluation Measurements : 62.5MHz Clock transmission, Jitter evaluation 125Mbit/s Data transmission PRBS-9 test pattern 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding)

17 Cable driver and equalizer evaluation Measurements : 62.5MHz Clock transmission, Jitter evaluation TIE 177ps pp / 20ps rms TIE 39ps pp / 3ps rms Jitter of received clock after 100mt of cat5e UTP cable (no shield) Jitter of received clock after 100mt of cat5e STP cable (shield) So! It is recommended to install shielded cables

18 Cable driver and equalizer evaluation Measurements : 62.5MHz Clock transmission, Jitter evaluation 62.5Mbit/s Data transmission PRBS pattern (125Mbit/s 1-2 Manchester encoding) 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding)

19 The 7th JUNO collaboration meeting Xiamen January 2016 Cable driver and equalizer evaluation Measurements : 125Mbit/s Data transmission PRBS-9 test pattern Eye pattern received data with 100mt of cat5e UTP cable (no shield) Eye pattern received data with 100mt of cat5e STP cable (shield)

20 Cable driver and equalizer evaluation Measurements : 62.5MHz Clock transmission, Jitter evaluation 125Mbit/s Data transmission PRBS/9 test pattern 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding)

21 Cable driver and equalizer evaluation Measurements : 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding) Test pattern generated thinking at 10 samples of time over threshold of the input signal PMT signal Threshold Trigger signal (time over threshold) Transmitted pattern (Manchester encoded)

22 The 7th JUNO collaboration meeting Xiamen January 2016 Cable driver and equalizer evaluation Measurements : 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding) Eye pattern received data with 100mt of cat5e UTP cable (no shield) Eye pattern received data with 100mt of cat5e STP cable (shield)

23 Cable driver and equalizer evaluation Measurements : 62.5MHz Clock transmission, Jitter evaluation 125Mbit/s Data transmission PRBS-9 test pattern 62.5Mbit/s Data transmission Trigger information (125Mbit/s 1-2 Manchester encoding) Further tests and measurements Repeat all the test with cable driver in all measurements Test including Ethernet 100Mbit traffic and POE supply on same cable Test using the FPGA (KC705 Xilinx Kintex FPGA evaluation board)

24 Cable driver and equalizer evaluation Conclusions : Cat5e cable is a good choice for reference clock and data transmission, it is preferable to install cat5e STP cable shielded. Further tests needed for evaluate data degradation when Ethernet traffic and POE are included in the same cable.

25 GCU Hardware (VHDL) evaluation Cable drivers and equalizers connected to FMC LPC adapter Xilinx KC705 Evaluation board Kintex ADC 500Msps Evaluation board connected to FMC HPC adapter 64bit DDR3 RAM Ethernet PHY layer

26 GCU Hardware (VHDL) evaluation KC705 block diagram

27 Ethernet POE Switch system 48Vdc POE PDS ETHERNET PHY LAYER ASYNCRONOUS 100Mbit Ethernet GCU block diagram IPBUS CORE FPGA SLOW CONTROL ADC DECODE OPTO ADC SPI bus ADU ANALOG FE HV REGS PWM ADC DAC VD Clock & Trigger cards LCU LOCAL CLOCK CABLE DRIVER CABLE EQUALIZER JITTER CLEANER 125Mbit data 62.5MHz clock TRG AND EVENT DISP TRIGGER ALG DDR CTL CORE BITSTREAM SELECTOR 20/28 bit 500MHz 64bit 400MHz BITSTREAM FLASH GOLDEN DDR RAM 2Gb CURRENT PMT

28 Ethernet POE Switch system 48Vdc POE PDS ETHERNET PHY LAYER ASYNCRONOUS ETHERNET PHY LAYER SYNCRONOUS 100Mbit Ethernet GCU prototype block diagram IPBUS CORE IPBUS CORE FPGA SLOW CONTROL ADC DECODE OPTO SPI bus HV REGS PWM ADC DAC VD Clock & Trigger cards LCU LOCAL CLOCK clock CABLE DRIVER CABLE EQUALIZER JITTER CLEANER 100Mbit Ethernet 125Mbit data 62.5MHz clock TRG AND EVENT DISP TRIGGER ALG DDR CTL CORE BITSTREAM SELECTOR 20/28 bit 500MHz 64bit 400MHz BITSTREAM FLASH GOLDEN FMC DDR RAM 2Gb CURRENT PMT

29 GCU ARCHITECTURE PROPOSAL THANK YOU

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

MPGD dedicated HV system. MLAB ICTP Miramare (TS) MPGD-dedicated HV system TASK 6. These slides and its contents are for INTERNAL use only

MPGD dedicated HV system. MLAB ICTP Miramare (TS) MPGD-dedicated HV system TASK 6. These slides and its contents are for INTERNAL use only MPGD-dedicated HV system TASK 6 MPGD dedicated HV system TASK COORDINATOR: PARTICIPANTS: S. Levorato INFN Trieste MLAB ICTP Miramare (TS) These slides and its contents are for INTERNAL use only July 2018

More information

MSU/NSCL May CoBo Module. Specifications Version 1.0. Nathan USHER

MSU/NSCL May CoBo Module. Specifications Version 1.0. Nathan USHER MSU/NSCL May 2009 CoBo Module Specifications Version 1.0 Nathan USHER 1. Introduction This document specifies the design of the CoBo module of GET. The primary task of the CoBo is to readout the ASICs

More information

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited Sundance Multiprocessor Technology Limited Form : QCF51 Template Date : 10 November 2010 Unit / Module Description: Quad DAC FMC Unit / Module Number: Document Issue Number: 1.1 Original Issue Date: 11

More information

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano White Rabbit A quick introduction Javier Serrano CERN BE-CO Hardware and Timing section ICALEPCS pre-conference workshop Barcelona, 7 October 2017 Javier Serrano Introduction to White Rabbit 1/29 Outline

More information

INFN Padova INFN & University Milano

INFN Padova INFN & University Milano GeDDAQ and Online Control Status t Report INFN Padova INFN & University Milano Calin A. Ur General Layout New Features - DMA readout from PCI - updated core PCI PCI transfer at 32bit/66MHz max.output rate

More information

Description of the JRA1 Trigger Logic Unit (TLU)

Description of the JRA1 Trigger Logic Unit (TLU) Description of the JRA1 Trigger Logic Unit (TLU) D. Cussans 1 January 10, 2007 Abstract This document describes the interfaces and operation of the EUDET JRA1 Trigger Logic Prototype ( TLU v0.1a ) with

More information

Interface electronics

Interface electronics Peter Göttlicher, DESY-FEB, June 11th 2008 1 Interface electronics Links to backend/control implications to mechanical design, to effort in FPGA's Peter Göttlicher, DESY-FEB specifications of signals at

More information

The White Rabbit Project

The White Rabbit Project WR Project Status 1/ 1 The White Rabbit Project Technical introduction and status report T. W lostowski BE-CO Hardware and Timing section CERN November 11, 2010 WR Project Status 2/ 1 Introduction Outline

More information

Vertex Detector Electronics: ODE to ECS Interface

Vertex Detector Electronics: ODE to ECS Interface Vertex Detector Electronics: ODE to ECS Interface LHCb Technical Note Issue: 1 Revision: 0 Reference: LHCb 2000-012 VELO Created: 1 February 2000 Last modified: 20 March 2000 Prepared By: Yuri Ermoline

More information

Timing distribution and Data Flow for the ATLAS Tile Calorimeter Phase II Upgrade

Timing distribution and Data Flow for the ATLAS Tile Calorimeter Phase II Upgrade Timing distribution and Data Flow for the ATLAS Tile Calorimeter Phase II Upgrade Fernando Carrió Argos on behalf of the ATLAS Tile Calorimeter Group Tile Calorimeter Segmented calorimeter of steel plates

More information

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012 PSEC-4 ASIC: design specs LAPPD Collaboration Designed to sample & digitize fast pulses (MCPs): Sampling rate capability > 10GSa/s Analog bandwidth

More information

Velo readout board RB3. Common L1 board (ROB)

Velo readout board RB3. Common L1 board (ROB) Velo readout board RB3 Testing... Common L1 board (ROB) Specifying Federica Legger 10 February 2003 1 Summary LHCb Detectors Online (Trigger, DAQ) VELO (detector and Readout chain) L1 electronics for VELO

More information

The Intelligent FPGA Data Acquisition

The Intelligent FPGA Data Acquisition The Intelligent FPGA Data Acquisition Dominic Gaisbauer, Stefan Huber, Igor Konorov, Dmytro Levit, Prof. Dr. Stephan Paul, Dominik Steffen d.gaisbauer@tum.de Technische Universität München Institute for

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Models 72664, Model 74664 Model 73664 General Information Models 72664, are members of the Cobalt family of high-performance CompactPCI s based on the Xilinx Virtex-6 FPGA. They

More information

Electronics on the detector Mechanical constraints: Fixing the module on the PM base.

Electronics on the detector Mechanical constraints: Fixing the module on the PM base. PID meeting Mechanical implementation ti Electronics architecture SNATS upgrade proposal Christophe Beigbeder PID meeting 1 Electronics is split in two parts : - one directly mounted on the PM base receiving

More information

RT2016 Phase-I Trigger Readout Electronics Upgrade for the ATLAS Liquid-Argon Calorimeters

RT2016 Phase-I Trigger Readout Electronics Upgrade for the ATLAS Liquid-Argon Calorimeters RT2016 Phase-I Trigger Readout Electronics Upgrade for the ATLAS Liquid-Argon Calorimeters Nicolas Chevillot (LAPP/CNRS-IN2P3) on behalf of the ATLAS Liquid Argon Calorimeter Group 1 Plan Context Front-end

More information

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family A Practical Guide to Configuring the Spartan-3A Family Goals 2 Explain advantages and disadvantages of each configuration mechanism available for Spartan-3A Show how to use an industry standard flash for

More information

Validating ADI Converters inter-operability with Xilinx FPGA and JESD204B IP

Validating ADI Converters inter-operability with Xilinx FPGA and JESD204B IP Validating ADI Converters inter-operability with Xilinx FPGA and JESD204B IP Introduction ADI continues to develop world class converter technologies and as a result requires us to develop high throughput

More information

Upgrading the ATLAS Tile Calorimeter electronics

Upgrading the ATLAS Tile Calorimeter electronics ITIM Upgrading the ATLAS Tile Calorimeter electronics Gabriel Popeneciu, on behalf of the ATLAS Tile Calorimeter System INCDTIM Cluj Napoca, Romania Gabriel Popeneciu PANIC 2014, Hamburg 26th August 2014

More information

NEMbox / NIMbox Programmable NIM Module

NEMbox / NIMbox Programmable NIM Module NEMbox / NIMbox Programmable NIM Module Request Quote NEMbox / NIMbox Programmable NIM Module NEMbox (Nuclear Electronics Miniature Box) is a programmable Logic / DAQ module, powered either in a NIM crate

More information

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 1 of 14 JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 2 of 14 CONTENTS INTRODUCTION... 3 SCOPE... 3 HARDWARE...

More information

PETsys SiPM Readout System

PETsys SiPM Readout System SiPM Readout System FEB/A_v2 FEB/S FEB/I The SiPM Readout System is designed to read a large number of SiPM photo-sensor pixels in applications where a high data rate and excellent time resolution is required.

More information

FPGA based Sampling ADC for Crystal Barrel

FPGA based Sampling ADC for Crystal Barrel FPGA based Sampling ADC for Crystal Barrel Johannes Müllers for the CBELSA/TAPS collaboration Rheinische Friedrich-Wilhelms-Universität Bonn CBELSA/TAPS Experiment (Bonn) Investigation of the baryon excitation

More information

The WaveDAQ system: Picosecond measurements with channels

The WaveDAQ system: Picosecond measurements with channels Stefan Ritt :: Muon Physics :: Paul Scherrer Institute The WaveDAQ system: Picosecond measurements with 10 000 channels Workshop on pico-second photon sensors, Kansas City, Sept. 2016 0.2-2 ns DRS4 Chip

More information

SMT9091 SMT148-FX-SMT351T/SMT391

SMT9091 SMT148-FX-SMT351T/SMT391 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: This Document provides an overview of the developed system key features. SMT148-FX-SMT351T/SMT391 E.Puillet

More information

GPS time synchronization system for T2K

GPS time synchronization system for T2K GPS time synchronization system for T2K Hans Berns and Jeff Wilkes University of Washington, Seattle SK Collaboration meeting Nov 8, 2007 11/8/07: GPS 1 11/8/07: GPS 2 T2K GPS Time Synchronization: overview

More information

BES-III off-detector readout electronics for the GEM detector: an update

BES-III off-detector readout electronics for the GEM detector: an update BES-III off-detector readout electronics for the GEM detector: an update The CGEM off-detector collaboration ( INFN/Univ. FE, INFN LNF, Univ. Uppsala ) 1 Outline Reminder Update on development status Off-detector

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari Data Acquisition in Particle Physics Experiments Ing. Giuseppe De Robertis INFN Sez. Di Bari Outline DAQ systems Theory of operation Case of a large experiment (CMS) Example of readout GEM detectors for

More information

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007

TOF Electronics. J. Schambach University of Texas Review, BNL, 2 Aug 2007 TOF Electronics J. Schambach University of Texas Review, BNL, 2 Aug 2007 1 Outline Electronics Overview Trigger & DAQ Interfaces Board Status, Tests & Plans 2 Electronics for One Side 3 Tray Level Electronics

More information

User Manual for SMT784

User Manual for SMT784 Sundance Multiprocessor Technology Limited User Manual Form : QCF42 Date : 11 February 2009 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: Quad-ADC-14-bit-125Msps

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

The ALICE trigger system for LHC Run 3

The ALICE trigger system for LHC Run 3 The ALICE trigger system for LHC Run 3, D. Evans, K.L. Graham, A. Jusko, R. Lietava, O. Villalobos Baillie and N. Zardoshti School of Physics and Astronomy, The University of Birmingham, Edgbaston, Birmingham,

More information

The new detector readout system for the ATLAS experiment

The new detector readout system for the ATLAS experiment LInk exange The new detector readout system for the ATLAS experiment Soo Ryu Argonne National Laboratory On behalf of the ATLAS Collaboration ATLAS DAQ for LHC Run2 (2015-2018) 40MHz L1 trigger 100kHz

More information

Radiation-Hard ASICS for Optical Data Transmission in the First Phase of the LHC Upgrade

Radiation-Hard ASICS for Optical Data Transmission in the First Phase of the LHC Upgrade Radiation-Hard ASICS for Optical Data Transmission in the First Phase of the LHC Upgrade A. Adair, W. Fernando, K.K. Gan, H.P. Kagan, R.D. Kass, H. Merritt, J. Moore, A. Nagarkar, S. Smith, M. Strang The

More information

256 channel readout board for 10x10 GEM detector. User s manual

256 channel readout board for 10x10 GEM detector. User s manual 256 channel readout board for 10x10 GEM detector User s manual This user's guide describes principles of operation, construction and use of 256 channel readout board for 10x10 cm GEM detectors. This manual

More information

ATLAS TileCal Demonstrator Main Board Design Review

ATLAS TileCal Demonstrator Main Board Design Review ATLAS TileCal Demonstrator Main Board Design Review Fukun Tang, Kelby Anderson and Mark Oreglia The University of Chicago 4/24/2013 Mini Review For Main Board Design 1 Main/Daughter Board Readout Structure

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

Application Note for EVP

Application Note for EVP Sundance Multiprocessor Technology Limited Application Note Form : QCF32 Date : 11 Februay 2009 Unit / Module Description: SMT111-SMT372T-SMT946 Unit / Module Number: Document Issue Number: 1.0 Issue Date:

More information

Abstract. 2. Literature Review. Keywords. 1. Introduction. Neeta S. Matti 1, Saroja V. Siddamal 2

Abstract. 2. Literature Review. Keywords. 1. Introduction. Neeta S. Matti 1, Saroja V. Siddamal 2 To Develop Prototype Model of FPGA and RF UP Converter Neeta S. Matti 1, Saroja V. Siddamal 2 Abstract Radio jamming is the transmission of radio signals that disrupt communications by decreasing the signal

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

Token Bit Manager for the CMS Pixel Readout

Token Bit Manager for the CMS Pixel Readout Token Bit Manager for the CMS Pixel Readout Edward Bartz Rutgers University Pixel 2002 International Workshop September 9, 2002 slide 1 TBM Overview Orchestrate the Readout of Several Pixel Chips on a

More information

White-Rabbit NIC Gateware

White-Rabbit NIC Gateware White-Rabbit NIC Gateware 14 Dec. 2012 Javier Díaz Univ. of Granada, Rafael Rodriguez Seven Solutions Contents Contents 1 Introduction 2 2 Gateware elements 2 2.1 WRPC (White Rabbit PTP Core)............................

More information

Deployment of the CMS Tracker AMC as backend for the CMS pixel detector

Deployment of the CMS Tracker AMC as backend for the CMS pixel detector Home Search Collections Journals About Contact us My IOPscience Deployment of the CMS Tracker AMC as backend for the CMS pixel detector This content has been downloaded from IOPscience. Please scroll down

More information

AIDA Advanced European Infrastructures for Detectors at Accelerators. Presentation

AIDA Advanced European Infrastructures for Detectors at Accelerators. Presentation AIDA-SLIDE-2015-023 AIDA Advanced European Infrastructures for Detectors at Accelerators Presentation A scalable gigabit data acquisition system for calorimeters for linear collider Gastaldi, F (CNRS)

More information

Product Information Sheet PX Channel, 14-Bit Waveform Digitizer

Product Information Sheet PX Channel, 14-Bit Waveform Digitizer Product Information Sheet PX14400 2 Channel, 14-Bit Waveform Digitizer FEATURES 2 Analog Channels at up to 400 MHz Sample Rate per Channel 14 Bits of Resolution Bandwidth from 100 KHz to 400 MHz 1 Gigabyte

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

APV-25 based readout electronics for the SBS front GEM Tracker

APV-25 based readout electronics for the SBS front GEM Tracker APV-25 based readout electronics for the SBS front GEM Tracker Authors: Evaristo Cisbani, Paolo Musico Date: 26/June/2014 Version: 1.0 APV-25 based readout electronics for the SBS front GEM Tracker...

More information

Features. Description. 4 2 Platform Flash In-System Programmable Configuration PROMS. DS123 (v2.6) March 14, Preliminary Product Specification

Features. Description. 4 2 Platform Flash In-System Programmable Configuration PROMS. DS123 (v2.6) March 14, Preliminary Product Specification 4 2 Platform Flash In-System Programmable Configuration PROMS DS123 (v2.6) March 14, 2005 0 Features In-System Programmable PROMs for Configuration of Xilinx FPGAs Low-Power Advanced CMOS NOR FLASH Process

More information

FELIX the new detector readout system for the ATLAS experiment

FELIX the new detector readout system for the ATLAS experiment FrontEnd LInk exchange LIX the new detector readout system for the ATLAS experiment Julia Narevicius Weizmann Institute of Science on behalf of the ATLAS Collaboration Introduction to ATLAS readout: today

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT391 Document Issue Number 1.1 Issue Data: 19th July 2012

More information

A generic firmware core to drive the Front-End GBT-SCAs for the LHCb upgrade

A generic firmware core to drive the Front-End GBT-SCAs for the LHCb upgrade A generic firmware core to drive the Front-End GBT-SCAs for the LHCb upgrade F. Alessio 1, C. Caplan, C. Gaspar 1, R. Jacobsson 1, K. Wyllie 1 1 CERN CH-, Switzerland CBPF Rio de Janeiro, Brazil Corresponding

More information

SVT detector Electronics Status

SVT detector Electronics Status SVT detector Electronics Status On behalf of the SVT community Mauro Citterio INFN Milano Overview: - SVT design status - F.E. chips - Electronic design - Hit rates and data volumes 1 SVT Design Detectors:

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram.

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram. A: Overview of the Integrated Detector Readout Electronics and DAQ-System N s CASCADE Detector Frontend (X0) (X) (Y0) (Y) optional: CIPix- Board (T) Optical Gigabit Link CDR.0 FPGA based readout board

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT939 Document Issue Number 1.1 Issue Data: 1th March 2012

More information

Improving Packet Processing Performance of a Memory- Bounded Application

Improving Packet Processing Performance of a Memory- Bounded Application Improving Packet Processing Performance of a Memory- Bounded Application Jörn Schumacher CERN / University of Paderborn, Germany jorn.schumacher@cern.ch On behalf of the ATLAS FELIX Developer Team LHCb

More information

CBMnet as FEE ASIC Backend

CBMnet as FEE ASIC Backend CBMnet as FEE ASIC Backend 17th CBM Collaboration Meeting P2 FEE/DAQ/FLES University of Heidelberg Computer Architecture Group, Ulrich Brüning 05.04.2011 Outline Motivation Front-end ASIC CBMnet implementation

More information

Readout Systems. Liquid Argon TPC Analog multiplexed ASICs SiPM arrays. CAEN 2016 / 2017 Product Catalog

Readout Systems. Liquid Argon TPC Analog multiplexed ASICs SiPM arrays. CAEN 2016 / 2017 Product Catalog Readout Systems Liquid Argon TPC Analog multiplexed ASICs SiPM arrays CAEN 2016 / 2017 Product Catalog 192 Readout Systems SY2791 Liquid Argon TPC Readout System The SY2791 is a complete detector readout

More information

Functional Specification

Functional Specification EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH ORGANISATION EUROPEENE POUR LA RECHERCHE NUCLEAIRE White Rabbit Switch Functional Specification Version: 0.c Date: September 6 of 2010. Author: J. Gabriel Ramírez

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs R 0 Platform Flash In-System Programmable Configuration PROMs DS123 (v2.2) December 15, 2003 0 0 Preliminary Product Specification Features In-System Programmable PROMs for Configuration of Xilinx FPGAs

More information

Electronic Design for Power Control Technology and Knowledge transferred from University to Industry

Electronic Design for Power Control   Technology and Knowledge transferred from University to Industry CITCEA-UPC Electronic Design for Power Control www.citcea.upc.edu Technology and Knowledge transferred from University to Industry 1 CITCEA-UPC is a centre for research and technology innovation born in

More information

VT988. Key Features. Benefits. High speed 16 ADC at 3 GSPS with Synchronous Capture VT ADC for synchronous capture

VT988. Key Features. Benefits. High speed 16 ADC at 3 GSPS with Synchronous Capture VT ADC for synchronous capture Key Features VT988 High speed 16 ADC at 3 GSPS with Synchronous Capture 16 ADC for synchronous capture Xilinx Virtex-7 XC7VX485T FPGA NVidia Jetson TX2 System on Module TI ADC08B3000 8-bit @ 3 GSPS Managed

More information

Construction of the Phase I upgrade of the CMS pixel detector

Construction of the Phase I upgrade of the CMS pixel detector Forward Pixel Barrel Pixel TECHNOLOGY AND INSTRUMENTATION IN PARTICLE PHYSICS 2017, May 22-26, 2017 Construction of the Phase I upgrade of the CMS pixel detector Satoshi Hasegawa Fermi National Accelerator

More information

Description of the JRA1 Trigger Logic Unit (TLU), v0.2c

Description of the JRA1 Trigger Logic Unit (TLU), v0.2c EUDET Description of the JRA1 Trigger Logic Unit (TLU), v0.2c D. Cussans September 11, 2009 Abstract This document is an updated version of EUDET-Memo-2008-50. It describes the interfaces and operation

More information

Using the FADC250 Module (V1C - 5/5/14)

Using the FADC250 Module (V1C - 5/5/14) Using the FADC250 Module (V1C - 5/5/14) 1.1 Controlling the Module Communication with the module is by standard VME bus protocols. All registers and memory locations are defined to be 4-byte entities.

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs Platform Flash In-System Programmable Configuration PROMs 0 Product Specification Features In-System Programmable PROMs for Configuration of Xilinx FPGAs Low-Power Advanced CMOS NOR FLASH Process

More information

RabbitCore RCM3700 Series

RabbitCore RCM3700 Series PRO Digi Connect ES PRO PRO RabbitCore RCM3700 Series Microprocessor Core Module Family of compact modules comes in three versions with varying memory sizes, providing a cost-competitive multi-serial to

More information

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI)

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI) 1 Introduction The Fibre Channel (FC) is logically a bi-directional point-to-point serial data channel, structured for high performance information transport. Physically, Fibre Channel is an interconnection

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Istituto Nazionale di Fisica Nucleare A FADC based DAQ system for Double Beta Decay Experiments

Istituto Nazionale di Fisica Nucleare A FADC based DAQ system for Double Beta Decay Experiments Istituto Nazionale di Fisica Nucleare A FADC based DAQ system for Double Beta Decay Experiments Description of the DAQ system PC control and Data analysis Future developments 1 Acquisition System for Pulse

More information

2008 JINST 3 S Online System. Chapter System decomposition and architecture. 8.2 Data Acquisition System

2008 JINST 3 S Online System. Chapter System decomposition and architecture. 8.2 Data Acquisition System Chapter 8 Online System The task of the Online system is to ensure the transfer of data from the front-end electronics to permanent storage under known and controlled conditions. This includes not only

More information

Update on PRad GEMs, Readout Electronics & DAQ

Update on PRad GEMs, Readout Electronics & DAQ Update on PRad GEMs, Readout Electronics & DAQ Kondo Gnanvo University of Virginia, Charlottesville, VA Outline PRad GEMs update Upgrade of SRS electronics Integration into JLab DAQ system Cosmic tests

More information

P338 Dual 4-lane MIPI DPhy Probe Data Sheet & User Manual. October Rev 1.1

P338 Dual 4-lane MIPI DPhy Probe Data Sheet & User Manual. October Rev 1.1 P338 Dual 4-lane MIPI DPhy Probe Data Sheet & User Manual October 2012 - Rev 1.1 PG3A Pattern Generator P338 MIPI D-PHY Probe 1.0 General: The P338 probe features 2 links each comprising 4 data lanes and

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

MiniDAQ1 A COMPACT DATA ACQUISITION SYSTEM FOR GBT READOUT OVER 10G ETHERNET 22/05/2017 TIPP PAOLO DURANTE - MINIDAQ1 1

MiniDAQ1 A COMPACT DATA ACQUISITION SYSTEM FOR GBT READOUT OVER 10G ETHERNET 22/05/2017 TIPP PAOLO DURANTE - MINIDAQ1 1 MiniDAQ1 A COMPACT DATA ACQUISITION SYSTEM FOR GBT READOUT OVER 10G ETHERNET 22/05/2017 TIPP 2017 - PAOLO DURANTE - MINIDAQ1 1 Overview LHCb upgrade Optical frontend readout Slow control implementation

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

Using PEX 8648 SMA based (SI) Card

Using PEX 8648 SMA based (SI) Card Using PEX 8648 SMA based (SI) Card White Paper Version 1.3 July 2010 Website: Technical Support: www.plxtech.com www.plxtech.com/support Copyright 2008 by PLX Technology, Inc. All Rights Reserved Version

More information

UCT Software-Defined Radio Research Group

UCT Software-Defined Radio Research Group UCT Software-Defined Radio Research Group UCT SDRRG Team UCT Faculty: Alan Langman Mike Inggs Simon Winberg PhD Students: Brandon Hamilton MSc Students: Bruce Raw Gordon Inggs Simon Scott Joseph Wamicha

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT911 Document Issue Number 1.1 Issue Data: 6th October

More information

ADC1x13S Demonstration Board for ADC1x13S

ADC1x13S Demonstration Board for ADC1x13S Quick Start ADC1x13S Demonstration Board for ADC1x13S Rev. 2 2 July 2012 Document information Info Keywords Abstract Block Diagram Content DEMO ADC1x13S, PCB2120-1, Demonstration board, ADC, Converter,

More information

FLAME, a new readout ASIC for the LumiCal detector

FLAME, a new readout ASIC for the LumiCal detector FLAME, a new readout ASIC for the LumiCal detector Jakub Moroń AGH-UST M. Firlej, T. Fiutowski, M. Idzik, K. Świentek Students: Sz. Bugiel, R. Dasgupta, M. Kuczyńska, J. Murdzek On behalf of FCAL Collaboration

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

AUGER NORTH Station controller R&D report. J-M.Brunet, S.Colonges, B.Courty, Y.Desplanches, L.Guglielmi, G.Tristram APC Laboratory CNRS / IN2P3

AUGER NORTH Station controller R&D report. J-M.Brunet, S.Colonges, B.Courty, Y.Desplanches, L.Guglielmi, G.Tristram APC Laboratory CNRS / IN2P3 AUGER NORTH Station controller R&D report J-M.Brunet, S.Colonges, B.Courty, Y.Desplanches, L.Guglielmi, G.Tristram APC Laboratory CNRS / IN2P3 1 Auger - North / October 2005 Board features CPU ARM core

More information

ADC ACQUISITION MODE...

ADC ACQUISITION MODE... SRS Data Format Content Contents 1. OVERVIEW... 2 1.1. FRAME COUNTER... 3 1.2. DATA HEADER... 3 1.3. HEADER INFO FIELD... 4 2. ADC ACQUISITION MODE... 5 2.1. OVERVIEW... 5 2.2. ADC DATA FORMAT... 6 2.3.

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

RPC Trigger Overview

RPC Trigger Overview RPC Trigger Overview presented by Maciek Kudla, Warsaw University RPC Trigger ESR Warsaw, July 8th, 2003 RPC Trigger Task The task of RPC Muon Trigger electronics is to deliver 4 highest momentum muons

More information

Product Information Sheet PDA14 2 Channel, 14-Bit Waveform Digitizer APPLICATIONS FEATURES OVERVIEW

Product Information Sheet PDA14 2 Channel, 14-Bit Waveform Digitizer APPLICATIONS FEATURES OVERVIEW Product Information Sheet PDA 2 Channel, -Bit Waveform Digitizer FEATURES 2 Channels at up to 100 MHz Sample Rate Bits of Resolution Bandwidth from DC-50 MHz 512 Megabytes of On-Board Memory 500 MB/s Transfer

More information

WAVE GENERATION DESIGN using the ATLYS FPGA with INBUILD DSP/RAM

WAVE GENERATION DESIGN using the ATLYS FPGA with INBUILD DSP/RAM All rights reserved & copyright PETER-PAUL TROENDLE 26 RUE DE VILLEBON 91160 SAULX LES CHARTREUX p94100687@hotmail.com WAVE GENERATION DESIGN using the ATLYS FPGA with INBUILD DSP/RAM Architecture The

More information

Validation of the front-end electronics and firmware for LHCb vertex locator.

Validation of the front-end electronics and firmware for LHCb vertex locator. Validation of the front-end electronics and firmware for LHCb vertex locator. Antonio Fernández Prieto Universidade de santiago de compostela, Spain E-mail: antonio.fernandez.prieto@cern.ch Pablo Vázquez

More information

SOFTWARE DEFINED RADIO

SOFTWARE DEFINED RADIO SOFTWARE DEFINED RADIO USR SDR WORKSHOP, SEPTEMBER 2017 PROF. MARCELO SEGURA SESSION 1: SDR PLATFORMS 1 PARAMETER TO BE CONSIDER 2 Bandwidth: bigger band better analysis possibilities. Spurious free BW:

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

AMC517 Kintex-7 FPGA Carrier for FMC, AMC

AMC517 Kintex-7 FPGA Carrier for FMC, AMC AMC Kintex-7 FPGA Carrier KEY FEATURES AMC FPGA carrier for FMC per VITA-57 Xilinx Kintex-7 410T FPGA in FFG-900 package with optional P2040 Supported by DAQ Series data acquisition software AMC Ports

More information

KALI Technical Manual. This document covers the Technical Details of KALI

KALI Technical Manual. This document covers the Technical Details of KALI KALI Technical Manual This document covers the Technical Details of KALI 1 KALI RECLOCKER The Kali takes the digital audio signals (I2S) from Sparky SBC or RPI through the on board FPGA based FIFO and

More information

The Prototype Trigger-Less Data Acquisition of the PANDA Experiment

The Prototype Trigger-Less Data Acquisition of the PANDA Experiment The Prototype Trigger-Less Data Acquisition of the PANDA Experiment M. N. Wagner II. Physikalisches Institut, Justus Liebig University This work was supported in part by BMBF (05P12RGFPF), HGS-HIRe for

More information

PMC429-4/8/16/32 Hardware Manual

PMC429-4/8/16/32 Hardware Manual PMC429-4/8/16/32 Hardware Manual 4/8/16/32 Channel Conduction Cooled ARINC429 Module for PMC November 2014 V02.00 Rev. C PMC429-4/8/16/32 Hardware Manual 4/8/16/32 Channel Conduction Cooled ARINC429 Module

More information

XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs. Features. Description

XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs. Features. Description 0 XC1701L (3.3V), XC1701 (5.0V) and XC17512L (3.3V) Serial Configuration PROMs December 10, 1997 (Version 1.1) 0 5* Product Specification Features On-chip address counter, incremented by each rising edge

More information